NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 3359 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
صفحه 3 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین
نمایش نتایج: از 41 به 60 از 361

موضوع: سوال در مورد fpga

  1. #41
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    نقل قول نوشته اصلی توسط engineer1 نمایش پست ها
    سعید جان خسته نباشی
    من خودم بیشتر با fpga کار کردم وتفاوتاشو با asic میدونم ولی تفاوتایfpga و cpld رو نمیدونم
    اگه میشه شما هم یه زحمتی بکش و یه تاپیک برا آموزش کامل cpld راه بنداز ما هم کمک میکنیم

    اخ جون من هم موافقم یکی CPLD اموزش بده و قدم به قدم پیش بریم
    تابستون هم هست وقت خالی هم زیاد داریم

  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  3. #42
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    دوستان نظرتون در مورد تراشه هایی که هم کنترلر arm دارن هم fpga چیه؟؟
    این تراشه ها برای کارای ترکیبی استفاده میشن. یک میکرو کنترلر و یک fpga با هم داخل یک تراشه هستن
    فکر کنم که قبلا اسمشون fipsoc بود. مطمئن نیستم
    ویرایش توسط esisafa : 04-07-2013 در ساعت 12:47

  4. #43
    کاربر علاقه مند at91sam7x256 آواتار ها
    تاریخ عضویت
    May 2012
    نوشته ها
    63
    تشکر
    111
    تشکر شده 22 بار در 12 پست

    پیش فرض

    سلام
    دوستان اگه از این شاخ به اون شاخ نپریم خیلی بهتره 5 صفحه تاپیک جلو رفته هنوز درگیر پروگرمر یا نوع ic هستیم
    اموزش fpga و برنامه نویسی اولین مرحله برای ورود به این تراشه ها هست . پس حالا که همه دنبالش هستن اموزش رو دوستان مدیریت کنن و بریم جلو . پروگرمر رو هم با خیال راحت بهتون میگم usb blaster شرکت altera یا usb programmer شرکت xilinx . واسه کار حرفه ای این دو تا خوبه 200 تومن هم حدودا هزینش میشه. تمام تراشه ها رو هم پشتیبانی میکنه .
    دوست عزیز که استارت این تاپیک رو زدی یا علی مدد .

  5. #44
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    منم همین عقیدرو دارم فکر میکنم همین موضوع fpga رو ادامه بدیم بهتره
    درضمن نمیبینم دوستان درمورد پستایی که من میزارم هیچ نظری بدن
    من میخام همه شرکت کنن و همچنین میخام نظراتتونو درمورد این آموزشا بگید
    مثل اینکه کلا کسی نمیخونه این مطالبو
    واقعا اگه کسی نمیخونه خب ادامه ندیم
    من ازون استقبال اولیتون فکر کردم واقعا علاقمندید

  6. تشکرها از این نوشته :


  7. #45
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    200 هزار تومان زیاده. اونم واسه کاری که معلوم نیست چه زمانی درامد کسب کنی.
    دوستان برای پروگرم کردن fpga اطلاعات داخل یک حافظه ی فلش یا رام ریخته میشه. این حافظه هم باید حتما با پروگرمر خود شرکت اطلاعات ریخت؟؟؟؟؟؟؟ اگه با پروگرم حافظه میشه که چه بهتر. یا در هر صورت خود تراشه رو باید پروگرم کرد.
    یکی از باتجربه ها یک توضیح کلی در مورد پروگرم کردن cpld و fpga و ... بده

    در ضمن خوندن این آموزش ها حداقل 1 ماهی وقت میخواد دیگه. پس نباید عجله کرد. البته دوستانی که میخونن اطلاع بدن

  8. کاربران : 2 تشکر کرده اند از شما esisafa برای ارسال این پست سودمند:


  9. #46
    کاربر فعال sarab1 آواتار ها
    تاریخ عضویت
    Jul 2008
    نوشته ها
    329
    تشکر
    139
    تشکر شده 172 بار در 100 پست

    پیش فرض

    نقل قول نوشته اصلی توسط engineer1 نمایش پست ها
    سلام من نرم افزار کوارتزii 12.1 رو دارم ولی نمیدونم چرا کار نمیکه اگه میتونی کمک کنی ممنون میشم عزیز
    از کرکش مطمئن هستید؟ از کدوم کرک استفاده میکنید؟

  10. #47
    مدير بخش STM32 sadmonew آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    صادق محمدي
    نوشته ها
    2,002
    تشکر
    6,283
    تشکر شده 3,115 بار در 1,408 پست

    پیش فرض

    نقل قول نوشته اصلی توسط sadeghbakhshi نمایش پست ها
    ...خب این جوری که من متوجه شدم حافظه ی cpld با قطع برق پاک نمیشه و با یه 5 ولت هم میشه راه اندازیش کرد و طراحی مدارش هم ساده تر از fpga هست چون برای fpga باید یه رام در نظر بگیریم
    سلام
    بله درست متوجه شدین.
    من کار کردم اما نه خیلی حرفه ای. اونم فقط زمانی ازش استفاده کردم که میخواستم اوسکوپ بسازم!
    تو وبلاگم میتونی اوسکوپو ببینی.
    _____________________________________
    _____________________________________

  11. تشکرها از این نوشته :


  12. #48
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    خوندن آموزش ise و modelsim تموم شد. با وجود ise اصلا modelsim لازم نیست. یعنی فقط ise لازمه و تمام قابلیت های modelsim داره.
    اگه میشه آخرین quartus با کرک معتبر هم برای دانلود قرار بدین. همچنین آموزش اون

    در ضمن verilog هم در حد ابتدایی و برای انجام یک پروژه ساده خوندم.

    خوب بالاخره برای پروگرم fpga هم مثل cpld پروگرمر مخصوص تراشه لازمه یا پروگرمر حافظه ی رام؟؟؟؟؟؟

    از چه بردی میخوایم استفاده کنیم؟ چه پروگرمری؟ دوستانی که یک نمونه کار عملی انجام دادن از چه وسایلی استفاده کردن؟
    ویرایش توسط esisafa : 04-07-2013 در ساعت 22:22

  13. تشکرها از این نوشته :


  14. #49
    همکار افتخاری dariush_ab آواتار ها
    تاریخ عضویت
    Apr 2012
    نام
    داریوش عباسی نژاد
    نوشته ها
    2,112
    تشکر
    1,404
    تشکر شده 1,810 بار در 1,064 پست

    پیش فرض

    200 هزار تومان زیاده. اونم واسه کاری که معلوم نیست چه زمانی درامد کسب کنی.
    آره به نظر من هم نمی ارزه.
    منم همین عقیدرو دارم فکر میکنم همین موضوع fpga رو ادامه بدیم بهتره
    درضمن نمیبینم دوستان درمورد پستایی که من میزارم هیچ نظری بدن
    من میخام همه شرکت کنن و همچنین میخام نظراتتونو درمورد این آموزشا بگید
    مثل اینکه کلا کسی نمیخونه این مطالبو
    واقعا اگه کسی نمیخونه خب ادامه ندیم
    من ازون استقبال اولیتون فکر کردم واقعا علاقمندید
    ببخشید.من دوست دارم بیشتر همکاری کنم ولی خوب نمیرسم.
    به نظر من سراغ همه چیز رفتن اشتباهه.
    یا fpga یا cpld؟
    یا altera یا ise؟
    یا روی سخت افزار یا صرفا با شبیه سازی؟
    به نظرم اول روی این موارد هماهنگ بشید بهتره.نمیشه که هر کی با یک چیز کار کنه.

  15. #50
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    نقل قول نوشته اصلی توسط dariush_ab نمایش پست ها
    به نظر من سراغ همه چیز رفتن اشتباهه.
    یا fpga یا cpld؟
    یا altera یا ise؟
    یا روی سخت افزار یا صرفا با شبیه سازی؟
    به نظرم اول روی این موارد هماهنگ بشید بهتره.نمیشه که هر کی با یک چیز کار کنه.
    بحث fpga و cpld بسیار شبیه همه. برنامه و نرم افزار و شبیه سازی همه یکجوره. فقط ساختار خود تراشه ها فرق داره و مربوط به سخت افزار میشه.
    در مورد Altra و xilinx هم فرق زیادی ندارن چون برنامه به یک زبان مشترک نوشته میشه و استاندارده و بقیه یاد گرفتن کار با نرم افزارشون هست که اونم شباهت زیادی داره. پروژه درست میکنی، فایل اضافه میکنی، شبیه سازی میکنی، پروگرم میکنی.
    فکر نکنم مثل میکرو کنترلرها باشه که mega بشه xmega از این رو به اون رو میشه یا Arm atmel با arm nxp اینقدر متفاوت باشه.
    ولی خوبه که همه هماهنگ باشن و ارزونترین و راحتترین راه رو انتخاب کنیم

  16. #51
    تازه وارد
    تاریخ عضویت
    Apr 2013
    نوشته ها
    1
    تشکر
    0
    تشکر شده 0 بار در 0 پست

    پیش فرض

    از engineer1 تقاضا میشود ادامه بدن.
    تا اینجا با فایل های اموزشی که قرار دادین خوبه.
    منتظر هستیم

  17. #52
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    طبق بررسی های کمی که انجام دادم متوجه شدم که هر دو شرکت Altra و xilinx از روش in system programing استفاده میکنن که همون jtag استاندارد خودمون هست و 4 تا پایه ی اصلی tdi ، tdo ، tck و tcs داره که همون پروتکل spi هست. در مورد xilinx بیشتر تحقیق کردم که برنامه ی iMPACK برای پروگرم کردن استفاده میشه و فایل های hexی که روی وسیله پروگرم میشه رو تولید میکنه.
    با این تفاسیر کسی یک پروگرمر استاندارد jtag میشناسه. یا نظری در این باره داره

  18. #53
    کاربر علاقه مند at91sam7x256 آواتار ها
    تاریخ عضویت
    May 2012
    نوشته ها
    63
    تشکر
    111
    تشکر شده 22 بار در 12 پست

    پیش فرض

    جناب engineer1
    منتظر ادامه اموزش هستیم .
    ممنون

  19. #54
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    سلام خدمت تمام عزیزان
    اول که شروع ماه رمضان رو تبریک میگم
    دوما یه چندتا شکایت داشتم
    من ازین که این آموزشارو قرار میدم انتظار تشکر ندارم ولی انتظار بدوبیرا گفتنم ندارم
    بعضی از دوستان بجای تشکر میان تو وبلاگ و هرچی دوس دارن میگن البته شاید ازین جا نبوده
    ولی خداییش دیگه خیلی نامردیه

  20. #55
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    اگه دوستان تا الان مطالب رو خوندن و یادگرفتن دیگه وقتشه با یسری نرم افزار های جدید و پیشرفته کار کنیم
    مثل hdl works و active hdl

  21. کاربران : 2 تشکر کرده اند از شما engineer1 برای ارسال این پست سودمند:


  22. #56
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    من که به اندازه ی کافی خوندم. این نرم افزارها چه قابلیتی دارن که پیشرفته تر هستن

    واسه چی بد بگن مگه چکار کردین که ناراحت بشن. ما که جز خوبی چیزی ندیدیم. به دلت نگیر همه جور آدم پیدا میشه.

    شما که تجربه دارین میتونید pdfی معرفی کنید که در مورد دستورات پروگرم کردن isp این آیسیها باشه. مثلا اگه با یک میکرو به جای rom بخوایم پروگرم کنیم باید چه دستوری بدیم تا فایل هگز کامپایل شده رو بریزیم رو fpga . امیدوارم منظورم رو بفهمید.
    ویرایش توسط esisafa : 13-07-2013 در ساعت 18:18

  23. #57
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    نقل قول نوشته اصلی توسط esisafa نمایش پست ها
    من که به اندازه ی کافی خوندم. این نرم افزارها چه قابلیتی دارن که پیشرفته تر هستن

    واسه چی بد بگن مگه چکار کردین که ناراحت بشن. ما که جز خوبی چیزی ندیدیم. به دلت نگیر همه جور آدم پیدا میشه.

    شما که تجربه دارین میتونید pdfی معرفی کنید که در مورد دستورات پروگرم کردن isp این آیسیها باشه. مثلا اگه با یک میکرو به جای rom بخوایم پروگرم کنیم باید چه دستوری بدیم تا فایل هگز کامپایل شده رو بریزیم رو fpga . امیدوارم منظورم رو بفهمید.
    نه راستش منظورتونو که نفهمیدم
    این نرم افزارا برا برنامه نوسی مفیدن یعنی برنامه نویسیتونو خیلی راحت میکنن
    به این شکل که شما نمای شماتیک مدارمورد نظرتونو تو به نرم افزار میدید و برنامه براتون کد برنامه نویسیشو به هرزبانی که بخاید بهتون میده
    حالا تو پستای بعدی بیشتر توضیح میدم

  24. تشکرها از این نوشته :


  25. #58
    کاربر فعال jonbakhsh آواتار ها
    تاریخ عضویت
    Apr 2008
    نام
    H.J
    نوشته ها
    371
    تشکر
    192
    تشکر شده 225 بار در 101 پست

    پیش فرض

    ببخشید ولی 6 تا صفحه پر شده ولی ما آموزش درست حسابی ندیدیم ؟؟؟

  26. #59
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    نقل قول نوشته اصلی توسط jonbakhsh نمایش پست ها
    ببخشید ولی 6 تا صفحه پر شده ولی ما آموزش درست حسابی ندیدیم ؟؟؟
    مگه دیگه چی میخواین. این همه pdf یک جا جمع شده. لینک نرم افزار هم هست. همین خودش کلی ارزش داره.

    منظورم اینه که با پروگرمر fpga آیسی رو پروگرم نکنیم. بلکه با یک میکروکنترلر فایل هگز کامپایل شده رو به آیسی fpga بدیم. یک همچین pdf آموزشی هست؟؟؟؟ یعنی با روش in sys programming که ساپورت میکنه کار کنیم

  27. #60
    کاربر فعال jonbakhsh آواتار ها
    تاریخ عضویت
    Apr 2008
    نام
    H.J
    نوشته ها
    371
    تشکر
    192
    تشکر شده 225 بار در 101 پست

    پیش فرض

    ببینید منظور من برنامه نویسی هستش .
    این فابل های pdf همه جا هستند . من همشو داشتم. منظور من یه چیز جدیده . مثلا برنامه نویسی vhdl یکی بیاد
    با مثال توضیح بده . من قبلا کار میکردم ولی از بس مرجع کم و ناقص داره دورش خط کشیدم

صفحه 3 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین

موضوعات مشابه

  1. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  2. ***** کتابهای الکترونیکی FPGA ها و زب
    توسط Hesam_K در انجمن FPGA
    پاسخ: 13
    آخرين نوشته: 07-05-2014, 16:24
  3. فرق این دو fpga
    توسط masoud58 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 02-04-2014, 21:59
  4. Fpga
    توسط arash12 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 23-05-2011, 02:15
  5. FPGA
    توسط saeed_niknami در انجمن FPGA
    پاسخ: 11
    آخرين نوشته: 24-12-2009, 01:06

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •