NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 3388 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
صفحه 16 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین
نمایش نتایج: از 301 به 320 از 361

موضوع: سوال در مورد fpga

  1. #301
    مدیرکل انجمنها Doloop آواتار ها
    تاریخ عضویت
    Aug 2011
    نام
    حسين
    نوشته ها
    1,964
    تشکر
    3,312
    تشکر شده 3,086 بار در 1,371 پست

    پیش فرض

    سلام

    PCB که من تو پست های قبل برای Xilinx FPGA USB Programmer قرار داده بودم یه ایراد کوچیک داشت که اصلاح کردم

    اون پست رو هم ویرایش کردم و توی این پست هم جهت اطلاع رسانی نسخه نهایی رو مجدد قرار دادم
    *** اللّهم عجّل لولیک الفرج ***

  2. کاربران : 4 تشکر کرده اند از شما Doloop برای ارسال این پست سودمند:


  3. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  4. #302
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    نقل قول نوشته اصلی توسط doloop نمایش پست ها
    سلام

    pcb که من تو پست های قبل برای xilinx fpga usb programmer قرار داده بودم یه ایراد کوچیک داشت که اصلاح کردم

    اون پست رو هم ویرایش کردم و توی این پست هم جهت اطلاع رسانی نسخه نهایی رو مجدد قرار دادم
    تا حالا با این پروگرمر کار کردین یا هنوز منتظر بورد هستین. نرم افزار پروگرمر چی هست؟

  5. #303
    مدير بخش STM32 sadmonew آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    صادق محمدي
    نوشته ها
    2,002
    تشکر
    6,283
    تشکر شده 3,115 بار در 1,408 پست

    پیش فرض

    نقل قول نوشته اصلی توسط doloop نمایش پست ها
    سلام

    pcb که من تو پست های قبل برای xilinx fpga usb programmer قرار داده بودم یه ایراد کوچیک داشت که اصلاح کردم

    اون پست رو هم ویرایش کردم و توی این پست هم جهت اطلاع رسانی نسخه نهایی رو مجدد قرار دادم
    سلام مهندس
    وقت كردين پروگرمرو بسازين و تستش كنيد؟
    _____________________________________
    _____________________________________

  6. #304
    مدیرکل انجمنها Doloop آواتار ها
    تاریخ عضویت
    Aug 2011
    نام
    حسين
    نوشته ها
    1,964
    تشکر
    3,312
    تشکر شده 3,086 بار در 1,371 پست

    پیش فرض

    سلام

    کل فایلش رو ضمیمه کردم البته قبلا هم قرار داده بودم

    توش دوتا نرم افزار داره که یکیش برای CDC هست و یکیش هم برای HID

    حالا این CDC , HID چی هست ؟؟؟

    پروگرمر رو ساختم ولی الان دوتا مشکل دارم یکیش این که پروگرمر برای PIC ندارم و احتمالا از یکی از دوستان بگیرم

    ولی بورد FPGA اصلا ندارم تست کنم منتظرم ببینم نتیجه این تایپیک چی میشه

    البته خودم هم دارم یه بوردی برای XC3S400 طراحی میکنم ولی بدلیل مشغله زیاد زمان زیادی میبره تموم شد نتیجه رو اینجا اعلام میکنم

    در مورد پروگرم کردنش هم , روی بورد هم کانکتور 2*5 برای JTAG همین پروگرمری که ساختم میزارم هم اون شیوه پروگرم کردن فلش سریال رو قرار میدم
    فايل هاي پيوست شده فايل هاي پيوست شده
    *** اللّهم عجّل لولیک الفرج ***

  7. کاربران : 3 تشکر کرده اند از شما Doloop برای ارسال این پست سودمند:


  8. #305
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    نقل قول نوشته اصلی توسط doloop نمایش پست ها
    سلام

    کل فایلش رو ضمیمه کردم البته قبلا هم قرار داده بودم

    توش دوتا نرم افزار داره که یکیش برای cdc هست و یکیش هم برای hid

    حالا این cdc , hid چی هست ؟؟؟

    پروگرمر رو ساختم ولی الان دوتا مشکل دارم یکیش این که پروگرمر برای pic ندارم و احتمالا از یکی از دوستان بگیرم

    ولی بورد fpga اصلا ندارم تست کنم منتظرم ببینم نتیجه این تایپیک چی میشه

    البته خودم هم دارم یه بوردی برای xc3s400 طراحی میکنم ولی بدلیل مشغله زیاد زمان زیادی میبره تموم شد نتیجه رو اینجا اعلام میکنم

    در مورد پروگرم کردنش هم , روی بورد هم کانکتور 2*5 برای jtag همین پروگرمری که ساختم میزارم هم اون شیوه پروگرم کردن فلش سریال رو قرار میدم
    سلام
    اگر دوست داشته باشید
    من هم بدم نمیاد توی طراحی برد fpga کمکتون کنم

  9. #306
    مدیرکل انجمنها Doloop آواتار ها
    تاریخ عضویت
    Aug 2011
    نام
    حسين
    نوشته ها
    1,964
    تشکر
    3,312
    تشکر شده 3,086 بار در 1,371 پست

    پیش فرض

    سلام

    من هم بدم نمیاد توی طراحی برد fpga کمکتون کنم
    اگه بشه عالی میشه

    من با آلتیوم 13 کار میکنم چون تازه شروع کردم طراحی زیاد جلو نرفته شما اگه قسمت تغذیه رو طراحی کنید و بدید من به پروژه اضافه کنم عالی میشه
    فقط یکسری نکات رو هم براتون پ.خ میکنم که رعایت بشه تا دوباره کاری نشه

    مشکل کتابخونه و از این قبیل که ندارید ؟؟
    *** اللّهم عجّل لولیک الفرج ***

  10. #307
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    مشکل چندانی روی کتابخانه ندارم
    ولی من التیوم 10 دارم
    این هم عکسش
    شما دیتاشیتی از ندارید؟

  11. #308
    عضو جدید Dr.hardware آواتار ها
    تاریخ عضویت
    Oct 2013
    نوشته ها
    5
    تشکر
    1
    تشکر شده 6 بار در 3 پست

    پیش فرض

    نقل قول نوشته اصلی توسط hossein1387 نمایش پست ها
    سلام مهندس خواهش میکنم.

    والا من قصدم این نیست که هدف اصلی این تاپیک که آقای طبسی زحمتش رو کشیده منحرف کنم. یکی از دوستان پرسیدن که در systemC چه جوری میشه کد نوشت من یک مثال براشون آماده کردم. به نظر من اگه این تاپیک بخواد موفق بشه با همون VHDL که بچه ها شروع کردن ادامه بدیم بهتره. مشکلی که systemC داره اینه که synthesizer کدش ( به صورت کرک شده) گیر نمیاد. من خیلی پیگیر این ماجرا نبودم و خوشحال میشم کسی ثابت کنه اشتباه میکنم. ولی اگر خواستین یک تاپیک جدا گونه باز کنیم و اشکال های این زبون ها رو(vhdl,verilog,systemC) از هم بپرسیم.
    با تشکر از دوستان. زبان SystemVerilog رو برای کسانی که verilog کار می کنند و می خواهند امکانات قدرتمند زبانی مثل SystemC رو هم داشته باشند توصیه می کنم.

  12. #309
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    نقل قول نوشته اصلی توسط Dr.hardware نمایش پست ها
    با تشکر از دوستان. زبان SystemVerilog رو برای کسانی که verilog کار می کنند و می خواهند امکانات قدرتمند زبانی مثل SystemC رو هم داشته باشند توصیه می کنم.
    سلام
    SystemVerilog دیگه چه زبان برنامه نویسی است؟ ترکیبیه!؟ تازه اومده؟

  13. تشکرها از این نوشته :


  14. #310
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    نقل قول نوشته اصلی توسط mzarkoob نمایش پست ها
    سلام
    SystemVerilog دیگه چه زبان برنامه نویسی است؟ ترکیبیه!؟ تازه اومده؟

    System Verilog یک زبون HDL هست. هم برای Design و هم برای Functional Verification استفاده میشه. تقریبا تمام syntax های Verilog رو پشتیبانی میکنه. علاوه بر اون system verilog یک زبان شی گرا هم هست. این خصوصیت باعث میشه که پروسه Verification خیلی منعطف تر از قبل بشه.

  15. کاربران : 2 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  16. #311
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    دم همگی گرم
    اصلا کسی یادش مونده توی این تاپیک چه خبر بود ؟
    قرار بود چکار کنیم؟
    نه آقای زارعی قیمت برد رو به ما گفت
    نه کسی چیزی یاد داد
    و نه حتی یه کتاب برای شروع معرفی شد
    یعنی 32 صفحه تاپیک = -0-

  17. #312
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    نقل قول نوشته اصلی توسط sadeghbakhshi نمایش پست ها
    دم همگی گرم
    اصلا کسی یادش مونده توی این تاپیک چه خبر بود ؟
    قرار بود چکار کنیم؟
    نه آقای زارعی قیمت برد رو به ما گفت
    نه کسی چیزی یاد داد
    و نه حتی یه کتاب برای شروع معرفی شد
    یعنی 32 صفحه تاپیک = -0-
    مهندس کتاب و سایت که بهت معرفی کردم که! دوباره میگم:
    WELCOME TO WORLD OF ASIC
    سایت خیلی خوبی برای یاد گیری VHDL، Verilog، SystemC و SystemVerilog هست.
    این سایت به زبان اصلی هست.
    ویدوهای مهندس/دکتر صدری هم توی سایت مکتب خونه هم عالی هست:
    http://maktabkhooneh.org/

    برد هم که در مورد پاپیلیو سوال داشتید من رفتم تست کردم و گفتم خیلی راحت راه اندازی شد. پروژه هم گفتم اگر میخواید بزارم و براتون توضیح بدم که حتی یک نفر هم راغب نبود! خوب چه میشه کرد دیگه!

  18. تشکرها از این نوشته :


  19. #313
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    قرار شده بود این برد وارد بشه ولی نشد

  20. #314
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    البته یسری نرم افزارا هم هستن که بلوک دیاگرامای مهم و پرکاربرد رو داره و میشه اونارو به هم متصل کرد
    و اون برنامه کد hdl رو به هر زبانی که بخاید بهتون بده
    نرم افزارای زیادیم وجود داره برا اینکار ولی یکی از معروفتریناش active hdl هست
    که لینک دانلودشو براتون میزارم
    لینک دانلود

  21. تشکرها از این نوشته :


  22. #315
    عضو جدید
    تاریخ عضویت
    Oct 2013
    نوشته ها
    13
    تشکر
    1
    تشکر شده 1 بار در 1 پست

    پیش فرض

    سلام دوستان ،

    من یک برد با XC6SLX150 زدم و چون قبلش میخواستم با XC6SLX45CSG484-2I و یا XC6SLX45CSG324-2C بزنم
    این دو تا FPGA رو دارم و همچنین چندتا چیپ DDR2 با ظرفیت 1Gb و 2Gb و رابط ( 88E1111-BAB (10/100/1000، اگر کسی خواست با اینا طراحی کنه بهم بگه با قیمت خیلی مناسب میفروشم.

  23. #316
    کاربر فعال sarab1 آواتار ها
    تاریخ عضویت
    Jul 2008
    نوشته ها
    329
    تشکر
    139
    تشکر شده 172 بار در 100 پست

    پیش فرض

    نقل قول نوشته اصلی توسط aref1 نمایش پست ها
    سلام دوستان ،

    من یک برد با xc6slx150 زدم و چون قبلش میخواستم با xc6slx45csg484-2i و یا xc6slx45csg324-2c بزنم
    این دو تا fpga رو دارم و همچنین چندتا چیپ ddr2 با ظرفیت 1gb و 2gb و رابط ( 88e1111-bab (10/100/1000، اگر کسی خواست با اینا طراحی کنه بهم بگه با قیمت خیلی مناسب میفروشم.
    اتفاقا من میخام قیمت ها بر اساس کدوم دلارند؟

  24. #317
    عضو جدید
    تاریخ عضویت
    Oct 2013
    نوشته ها
    13
    تشکر
    1
    تشکر شده 1 بار در 1 پست

    پیش فرض

    نقل قول نوشته اصلی توسط sarab1 نمایش پست ها
    اتفاقا من میخام قیمت ها بر اساس کدوم دلارند؟
    xc6slx45csg484-2i ( xc6slx45-2csg484i)--- 125000 t
    xc6slx45csg324-2c (xc6slx45-2csg324c) --- 70000 t

  25. #318
    کاربر فعال sarab1 آواتار ها
    تاریخ عضویت
    Jul 2008
    نوشته ها
    329
    تشکر
    139
    تشکر شده 172 بار در 100 پست

    پیش فرض

    نقل قول نوشته اصلی توسط aref1 نمایش پست ها
    xc6slx45csg484-2i ( xc6slx45-2csg484i)--- 125000 t
    xc6slx45csg324-2c (xc6slx45-2csg324c) --- 70000 t
    مهندس چقدر ارزون !!!!!!!!!!!!!!!! آدم به شک میفته!!
    از لحاظ اورجینال بودن تایید میکنید؟ البته ببخشید فصد جسارت ندارم فقط چون به صورت حتمی میخام بخرم ازتون میپرسم (پیام خصوصی داده بودم جواب نداده بودید!)

  26. #319

  27. تشکرها از این نوشته :


  28. #320
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    آخه مهندس هیچ کس پایه نبود! نمیدونم کسی برد تهیه کرده یا نه؟ تقریبا همه روی Papilio توافق کردیم ولی هیچ کس دیگه بعد از اون اقدامی انجام نداد.

  29. تشکرها از این نوشته :


صفحه 16 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین

موضوعات مشابه

  1. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  2. ***** کتابهای الکترونیکی FPGA ها و زب
    توسط Hesam_K در انجمن FPGA
    پاسخ: 13
    آخرين نوشته: 07-05-2014, 16:24
  3. فرق این دو fpga
    توسط masoud58 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 02-04-2014, 21:59
  4. Fpga
    توسط arash12 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 23-05-2011, 02:15
  5. FPGA
    توسط saeed_niknami در انجمن FPGA
    پاسخ: 11
    آخرين نوشته: 24-12-2009, 01:06

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •