NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 3389 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
صفحه 13 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین
نمایش نتایج: از 241 به 260 از 361

موضوع: سوال در مورد fpga

  1. #241
    کاربر فعال
    تاریخ عضویت
    Aug 2011
    نام
    Dany
    نوشته ها
    257
    تشکر
    138
    تشکر شده 185 بار در 106 پست

    پیش فرض

    یعنی الان بحث برد به نتیجه رسید که دوستان رفتن سراغ برنامه نویسی و انتخاب نوع آن؟
    جناب hossein1387 شما برد Papilio از کجا و چقدر خریدید؟
    در مورد زبان برنامه نویسی هم بهتره نظر سنجی بشود ولی به نظر منSystemC فعلا نیاز نیست و بین VHDLوverilog هم به نظر من VHDL گزینه بهتری هست(البته این بخش سلیقه ای هست وverilog آسون تره ولی سابقه VHDL خیلی بیشتره و دیدش سخت افزاری تره)
    در مورد شبیه سازی باModelsim هم من موافقم سال گذشته زمانی که با این نرم افزار کار می کردم یک PDF درست کردم برای آنها که اشنایی ندارند و برای شروع کار مفیده (البته خودم در حد شبیه سازی کار کردم و وقت نشد وارد مراحل عملی بشم که انشا الله با کمک دوستان و اساتید وارد این مرحله هم می شیم)
    من یک پیشنهادی دارم برای اینکه روند این تاپیک به هم نریزه و هر کسی به یک سمتی نره هرچه زودتر یک نفر مسئولیت نظارت را برعهده بگیره و این شخص ترجیحا کسی باشه که می تونه زیاد به سایت سر بزنه و نزاره به بی راهه بریم و تا یک موضوع تمام نشده وارد بخش دیگر نشویم چطوره؟
    ویرایش توسط skiboys : 20-08-2013 در ساعت 23:58

  2. کاربران : 2 تشکر کرده اند از شما skiboys برای ارسال این پست سودمند:


  3. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  4. #242
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    من برد Papilio رو با یک سری برد دیگه خریدم و قراره یک نفر برام بیاره. قیمت خود برد به تنهایی حدود 49 دلار شد. فکر کنم تا اوایل هفته آینده به دستم برسه. هر وقت که برد به دستم رسید من یک آموزش توی سایت در مورد برد میزارم.

  5. کاربران : 5 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  6. #243
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    خوب یالاخره برد به دستم رسید!
    من توی همین تاپیک در مورد برد توضیح میدم.

    اول از همه اینکه برد قیمتش کم شد و به 37.99$ رسید. قیمت Wing هم کم شد و به 39.99$ رسید.
    چیزی که حالم رو خیلی گرفت این بود که بسته ای که برد توش بود فقط و فقط شامل خود برد بود! هیچ چیز دیگه ای داخل بسته نبود نه CD نه حتی یک کابل USB هیچی!

    برد شامل یک FT2232D هست که میتونه 2 تا پورت COM توی کامپیوتر تعریف کنه. از این دوتا پورت یکی برای برنامه ریزی FPGA و FLASH خارجی هست، و اون یکی پورت COM برای ارتباط سریال تعبیه شده. که در ادامه در مورد هر دوتاشون توضیح میدم.
    FPGA که روی برد هست برنامه ریزی نشده و خالی هست بنابراین بار اول که برد رو وصل میکنید هیچ اتفاق خاصی نمیفته! وقتی که برد رو وصل کردید، ویندوز شروع به نصب درایور های رابط سریال میکنه. من که ویندوز 7 64 بیتی دارم و به راحتی درایور نصب شد و احتمالا اگر مشکلی هم باشه توی سایت خود FTDI میتونین درایور مورد نظر رو پیدا کنید.
    بعد از اینکه درایور نصب شد باید نرم افزار پروگرامر رو هم دانلود کنید( از سایت زیر):
    Papilio Loader GUI - Gadget Factory Forum
    توی سایت بالا دو نسخه هست یکی با پکیج های JAVA و یکی بدون اون. هر کدوم رو که فکر میکنید مناسب هست رو نصب کنید ( من بدون JAVA رو نصب کردم که 8 مگ بود ولی بعد مجبور شدم JAVA رو آپدیت کنم!) نصب نرم افزار هیچ نکته خاصی نداره و به راحتی نصب میشه. چون نرمافزار تحت JAVA هست ممکنه هنگام اجرا اخطار بده که باید فلان پکیج JAVA رو نصب کنید که بزارید ویندوز کار خودش رو انجام بده.
    خوب تا اینجا هم نرم افزار پروگرامر رو نصب کردیم و هم درایور برد رو. حالا باید ببینیم برد رو میتونیم پروگرام کنیم یا نه.
    خود شرکت Gadget Factory یک فایل .bit برای شروع روی سایتش قرار داده که من پیوست کردم و از سایت زیر هم میشه اون رو دانلود کرد( از قسمت Quick Links با توجه به نوع تراشه فایل .bin رو میتونین دانلود کنین):
    Papilio platform - Getting Started

    همونطور که گفتم روی برد یک فلش خارجی موجود هست. این فایل .bin هم میتونه داخل فلش ریخته بشه و هم داخل خود FPGA فرقشون رو هم خودتون بهتر از من میدونید دیگه که اگر روی FPGA ریخته بشه با قطع برق برنامه هم پاک میشه ولی روی فلش برنامه باقی میمونه. طبق عکس زیر میتونین فلش خارجی یا FPGA رو پروگرام کنید:


    سرعت پروگرام کردن FPGA به نسبت فلش خیلی بهتره. در مقایسه با Xilinx Cable من خیلی تفاوتی ندیدم . ولی خوب حجم این برنامه خیلی کم بود و برای مقایسه اصلا مناسب نیست.
    اگر مراحل رو تا اینجا به درستی انجام داده باشین و FPGA درست پروگرام شده باشه، باید چند سری از پایه های FPGA شروع به روشن خاموش شدن بکنه و کد های ASCII از طریق پورت COM که اول توضیح دادم ارسال میشه:




    در پست بعدی یک کد VHDL ساده مینویسم. این هم چند تا عکس از برد:





    فایل شماتیک برد هم پیوست شده
    فايل هاي پيوست شده فايل هاي پيوست شده
    ویرایش توسط hossein1387 : 24-08-2013 در ساعت 11:35

  7. کاربران : 9 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  8. #244
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    خوب حالا یک مثال ساده رو با هم بررسی کنیم. این قسمت رو برای آموزش VHDL نمیگم بلکه برای آشنایی با روند سنتز کردن کد میگم.
    اول از همه نیاز به ISE داریم. همونطور که میدونید، ISE محصول شرکت Xilinx هست و شامل تعداد زیادی نرم افزار جانبی میشه. ما در اینجا فقط و فقط از خود ISE Project Navigator استفاده میکنیم. تا جایی که من میدونم نسخه Web Pack نرمافزار ISE رایگان هست. با این نسخه توانایی کد نویسی کامل برای CPLD ها و محدود برای FPGA ها داریم (محدود از نظر تعداد گیت). نصب ISE مشکل خاصی نداره و به راحتی نصب میشه البته یکم طول میکشه.
    کاری که میخواهیم انجام بدیم این هست:
    میخواهیم یک کد VHDL بنویسیم که LED مربوط به یک Switch رو مطابق با وضعیت سویچ روشن و خاموش کنه. کد VHDL زیر رو میتونیم برای این برنامه در نظر بگیریم:
    [HTML]library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;

    entity Switches_LEDs is
    Port ( switch_0 : in STD_LOGIC;
    LED_0 : out STD_LOGIC;
    );
    end Switches_LEDs;

    architecture Behavioral of Switches_LEDs is

    begin
    LED_0 <= switch_0;
    end Behavioral;

    [/HTML]

    فعلا با کد کاری نداشته باشیم.
    روندی که از اینجا به بعد باید طی کنیم رو خیلی مختصر میگم:
    از اونجا که داخل FPGA یک سری resource های محدود هست، کد بالا باید تبدیل به این resource ها بشه. یعنی چی حالا؟ یعنی اینکه کد بالا باید تبدیل به گیت های and,or,xor,و غیره بشه. داخل هر FPGA با توجه به خانواده اون FPGA، تعدادی از این گیت ها وجود داره. کاری که نرم افزار ISE انجام میده این هست که میاد با توجه به خانوداه IC که شما انتخاب کردید، و با توجه به resource هایی که داخل اون IC هست، کد بالا رو از سطح توصیفی/رفتاری به سطح گیت تبدیل میکنه. به این کار میگن سنتز کردن کد. این سنتز کردن کد توی هر نرمافزار synthesizer قابل انجام هست. مثلا شما با نرم افزار های شرکت Cadence و یا Synopsys هم میتونین این کار رو انجام بدید اما برای اینکه ISE این فایل رو قبول کنه یک سری کارها باید انجام بشه که بحثش اینجا نیست. بعد از اینکه کد سنتز شد، حالا باید ISE بیاد این گیت ها رو اولا توی Device انتخاب کنه (طوری که مثلا کوتاهترین سیم کشی بین ورودی خروجی انجام بشه) و سپس سیم کشی بین این گیت ها رو هم انجام بده. توی این مرحله علاوه بر فایل سنتز شده نیاز به یک فایل اصلی دیگه هم هست که با پسوند ucf شناخته میشه. در این فایل مشخص میشه که مثلا سیگنال LEDs بالا که به عنوان خروجی تعریف شده دقیقا به کدوم یک از پایه های FPGA وصل هست.
    اگر هیچ مشکلی در مراحل قبل وجود نداشته باشه، بعد از این مرحله فایل .bit تولید میشه که میشه این فایل رو داخل FPGA ریخت.
    خوب پس 2 تا مرحله باید انجام بدیم:
    1- سنتز کردن کد
    2- Implement
    3- Generate Program File

    این حداقل روندی هست که طی میشه تا کد VHDL تبدیل به فایل .bit بشه.
    ویرایش توسط hossein1387 : 24-08-2013 در ساعت 10:27

  9. کاربران : 7 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  10. #245
    کاربر فعال
    تاریخ عضویت
    Aug 2011
    نام
    Dany
    نوشته ها
    257
    تشکر
    138
    تشکر شده 185 بار در 106 پست

    پیش فرض

    خیلی ممنون اقا محمد حسین که اطلاعاتشو قرار دادید
    این برد wing جریانش چیه؟ همون برد کناری هست درسته؟

  11. #246
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    سلام

    آره همون برد کنار برد اصلی هست. اسم دقیقش LogicStart MegaWing هست. توی خود سایت میتونین امکانات برد رو ببینین:
    LogicStartMegaWing

  12. کاربران : 2 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  13. #247
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    ممنون آقا حسین. شما مثل اینکه ایران نیستین. کجایید که قیمت با دلار میگین؟ در نهایت چند دست ما میرسه
    نرم افزار پروگرمر فقط بوردهای شرکت رو پروگرم میکنه یا بعدا خودمون هم میتونیم با آیسی های دیگه استفاده کنیم
    البته در هر صورت حافظه ی فلش رو میشه پروگرم کرد. منظورم برای cpld هست
    ویرایش توسط esisafa : 24-08-2013 در ساعت 12:56

  14. #248
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    خب دیگه نوبتی هم باشه نوبت شکستن قلکمون رسیده
    فقط باید یکی رو پیدا کنیم برامون وارد کنه و قیمت نهایی رو بهمون بگه
    به نظر من همین اقای زارعی عزیز گزینه ی مناسبی باشه
    ایشون هم میتونند برد رو پیش فروش کنند و مقداری از پول رو بگیرند
    و بعد از وارد شدن تمام پول رو بگیرند
    البته باید خود ایشون هم اینجا حاظر بشند و بگن که میتونند این کار رو انجام بدهند یا خیر

  15. #249
    کاربر فعال
    تاریخ عضویت
    Aug 2011
    نام
    Dany
    نوشته ها
    257
    تشکر
    138
    تشکر شده 185 بار در 106 پست

    پیش فرض

    آقای عسکری شما با چه پرگرمری این برد را پروگرم می کنید؟ خب دوستان براورد کنند اگر خودمان بخواهیم چنین بردی بزنیم تقریبا چقدر هزینه می بره ؟به نظر من اگه هزینه ساخت این برد در داخل کمتر می شه یکی این برد طراحی کنه و در سایت به فروش برسه خیلی بهتره تا اینکه وارد کنیم

  16. #250
    مدیر بخش STM32 sigma-mx آواتار ها
    تاریخ عضویت
    Nov 2009
    نام
    سعید شریفیان
    نوشته ها
    1,421
    تشکر
    804
    تشکر شده 2,520 بار در 978 پست

    پیش فرض

    برای آقای زارعی پیغام گذاشتم برد رو بررسی کنند . اگه خیلی گرون در بیاد مسلمه که بسازیم بهتره ..

  17. #251
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    من یکبار دیگه در مورد پروگرامر توضیح میدم:
    ببینید روی برد یک آیسی FTDI هست که 2 تا پورت COM تعریف میکنه یکی برای پروگرام کردن FPGA و FLASH خارجی و یکی هم برای ارتباط سریال. در طرف کامپیوتر یک نرم افزار موجود هست که کاملا رایگان هست و من توی 2 تا پست قبل لینک دانلود براش گذاشتم. کار باهاش هم خیلی ساده هست. حالا اینکه بشه آیسی های دیگه ای رو باهاش برنامه ریزی کرد رو من نمیدونم. ولی از اونجا که این شرکت 3 تا برد اصلی داره و هر کدوم از این بردها FPGA خاص خودشون رو دارند و همه با همین نرم افزار پروگرام میشن، احتمالا نرم افزار برای بقیه FPGA ها هم قابل استفاده باشه.
    بنابراین یک بار دیگه تاکید میکنم، این برد پروگرامر روی خودش داره و شما برای برنامه نویسی برد و پروگرام کردن برد فقط به خود برد احتیاج دارید و یک عدد کابل USB mini مادگی. همین!

    به نظر من بهتره که برد رو خودتون طراحی کنید. چون به نظر من خیلی چیز خاصی نداره. البته اگر مقرون به صرفه باشه. حالا اگر اقای زارعی هم یک قیمت برای برد بدن خیلی خوب میشه.

  18. کاربران : 3 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  19. #252
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    من امروز رفته بودم جمهوری
    از یکی قیمت گرفتم و گفتم 37 دلار هست
    بهم گفت اگر داخل چین باشه 150 تومن دست من رو میگیره

  20. کاربران : 4 تشکر کرده اند از شما sadeghbakhshi برای ارسال این پست سودمند:


  21. #253
    مدیر بخش STM32 sigma-mx آواتار ها
    تاریخ عضویت
    Nov 2009
    نام
    سعید شریفیان
    نوشته ها
    1,421
    تشکر
    804
    تشکر شده 2,520 بار در 978 پست

    پیش فرض

    بنظر قیمت بدی نمیاد ! اگر تعداد بگیریم طرف تخفیف هم شاید بده .. ولی اگه آقای زارعی بیاره یقینا ارزونتره و ما راضی تریم

  22. #254
    مدیر بخش STM32 sigma-mx آواتار ها
    تاریخ عضویت
    Nov 2009
    نام
    سعید شریفیان
    نوشته ها
    1,421
    تشکر
    804
    تشکر شده 2,520 بار در 978 پست

    پیش فرض

    یه چیز برای من تو این برد هنوز واضح نیست ! درسته که برای ذخیره کد بیت باید یه نوع فلش استفاده بشه ولی من معمولا فلش موازی دیدم که به باس fpga متصل میشه . حالا اینجا از یه فلش سریال ( spi ) استفاده کرده . چطوری یه فلش spi رو fpga بدون کد و فقط سخت افزاری میشناسه ! کسی میتونه توضیح بده ؟

    ضمنا آقای عسکری این برد شما کدوم چیپ روشه ؟ 250E ؟؟؟؟

  23. تشکرها از این نوشته :


  24. #255
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    نقل قول نوشته اصلی توسط sigma-mx نمایش پست ها
    یه چیز برای من تو این برد هنوز واضح نیست ! درسته که برای ذخیره کد بیت باید یه نوع فلش استفاده بشه ولی من معمولا فلش موازی دیدم که به باس fpga متصل میشه . حالا اینجا از یه فلش سریال ( spi ) استفاده کرده . چطوری یه فلش spi رو fpga بدون کد و فقط سخت افزاری میشناسه ! کسی میتونه توضیح بده ؟
    لابد بخاطر ساختار خود fpga هست که بتونه از فلش spi اطلاعات بخونه

  25. #256
    کاربر علاقه مند
    تاریخ عضویت
    Oct 2009
    نوشته ها
    32
    تشکر
    5
    تشکر شده 23 بار در 12 پست

    پیش فرض

    Fpga ها با خیلی از فلش ها بالا میان.
    یه چند پایست که این رو تعیین میکنه ولی مطمئن نیستم که نرم افزاری بشه از چند نوع فلش مختلف بوت کرد.

  26. تشکرها از این نوشته :


  27. #257
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    پس چرا آقای زارعی هیچ جوابی نمیده
    نکنه دست به اعتصاب زده

  28. #258
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    نقل قول نوشته اصلی توسط sigma-mx نمایش پست ها
    یه چیز برای من تو این برد هنوز واضح نیست ! درسته که برای ذخیره کد بیت باید یه نوع فلش استفاده بشه ولی من معمولا فلش موازی دیدم که به باس fpga متصل میشه . حالا اینجا از یه فلش سریال ( spi ) استفاده کرده . چطوری یه فلش spi رو fpga بدون کد و فقط سخت افزاری میشناسه ! کسی میتونه توضیح بده ؟

    ضمنا آقای عسکری این برد شما کدوم چیپ روشه ؟ 250e ؟؟؟؟
    بردی که من دارم 250e هست.

  29. کاربران : 3 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  30. #259
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    مثل اینکه دیگه هیچ کس پیگیر بحث نیست؟!

  31. #260
    کاربر علاقه مند
    تاریخ عضویت
    Oct 2009
    نوشته ها
    32
    تشکر
    5
    تشکر شده 23 بار در 12 پست

    پیش فرض

    آخه هنوز نفهمیدم هدف چیه می خواهیم بسازیم بخریم ؛ و احیانا چکار کنیم؟

صفحه 13 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین

موضوعات مشابه

  1. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  2. ***** کتابهای الکترونیکی FPGA ها و زب
    توسط Hesam_K در انجمن FPGA
    پاسخ: 13
    آخرين نوشته: 07-05-2014, 16:24
  3. فرق این دو fpga
    توسط masoud58 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 02-04-2014, 21:59
  4. Fpga
    توسط arash12 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 23-05-2011, 02:15
  5. FPGA
    توسط saeed_niknami در انجمن FPGA
    پاسخ: 11
    آخرين نوشته: 24-12-2009, 01:06

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •