NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 3388 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
صفحه 12 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین
نمایش نتایج: از 221 به 240 از 361

موضوع: سوال در مورد fpga

  1. #221
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    اگر اشتباه نکنم توی سایتش در مورد نوشتن الگوریتم های dsp هم یه چیزهایی بود

  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  3. #222
    مدیرکل انجمنها
    تاریخ عضویت
    May 2011
    نام
    احسان صفامنش
    نوشته ها
    1,763
    تشکر
    919
    تشکر شده 2,261 بار در 1,056 پست

    پیش فرض

    حتی از این بورد هم استفاده نکنیم، در سایتش اونقدر چیز خوب و اپن سورس مثل همین پروگرمر و حتی آموزش هست که نباید بیخیالش بشیم.
    بله برای dsp یکی از نیازها حافظه ی رم هست.

  4. #223
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    احساس میکنم که بچه ها قصد ندارند ادامه بدهند
    اکثر بچه ها هم موندن بیرون گود و فقط نظاره گر شده اند
    منتظرند که یه لقمه ی چرب حاظر و اماده گیر بیاد و بخورند
    23 صفحه گذشته هیچکار مفیدی نکردیم
    اگر قرار هست همین طوری پیش بریم به هیچ جایی نمیرسیم
    یه جورایی شدند مثل قوم بنی اسرائیل
    هر کسی که می خواهد کار کنه بیاد جلو ببینیم چند چند هستیم

  5. کاربران : 3 تشکر کرده اند از شما sadeghbakhshi برای ارسال این پست سودمند:


  6. #224
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    نقل قول نوشته اصلی توسط sadeghbakhshi نمایش پست ها
    احساس میکنم که بچه ها قصد ندارند ادامه بدهند
    اکثر بچه ها هم موندن بیرون گود و فقط نظاره گر شده اند
    منتظرند که یه لقمه ی چرب حاظر و اماده گیر بیاد و بخورند
    هر کسی که می خواهد کار کنه بیاد جلو ببینیم چند چند هستیم
    سلام
    در زمینه طراحی برد چون تخصص ندارم قطعا نمی تونم نظر بدهم
    خیلی وقت کنم در زمینه نرم افزار
    چه کاری از عهده من برمیاد الان!؟

  7. تشکرها از این نوشته :


  8. #225
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    انشاالله تا فردا برد Papilio به دستم میرسه و من هرچه سریعتر در موردش میام توضیح میدم.

  9. کاربران : 2 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  10. #226
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    از همون اول هم نمی خواستیم که بردی طراحی کنیم
    حداقل 2تا دونه نظر انتقاد یه چیزی بگید
    اگر رد میکنید چرا رد میکنید؟
    نقطه ی ضعف بردها کجاست؟
    بعد ما می خواهیم یاد بگیریم نه اینکه یه برد خیلی قوی با قیمت پایین جور کنیم
    قرار نیست که رادار طراحی کنیم
    4تا چیز یاد میگیریم بعد هر کسی میره اطلاعاتش رو بسط میده و
    به اشتراک میزاریم

  11. کاربران : 2 تشکر کرده اند از شما sadeghbakhshi برای ارسال این پست سودمند:


  12. #227
    کاربر علاقه مند test00 آواتار ها
    تاریخ عضویت
    Jun 2011
    نوشته ها
    35
    تشکر
    174
    تشکر شده 11 بار در 9 پست

    پیش فرض

    با سلام
    دوستان راسش من مدام پیگیر این تایپیک هستم
    اما چون اطلاعاتی از fpga ندارم برای همین سکوت میکنم
    واقعیتش من هنوز نتونستم یه برد مناسب انتخاب کنم آخه دوستان هر کسی میاد و یه برد معرفی کنه،اگر روی یه برد به نتیجه برسیم و دیگه این همه معرفی نباشه بهتره. %80 درصد تایپیک شده معرفی برد که یکی معرفی میکنه و یکی دیگه میاد میگه کامل نیست و غیره ( البته نظر همه دوستان محترم )اما اگر بخایم اینجوری پیش بریم کار بجایی نمیرسیم و افرادی هم که قصد دارند کار کنند و آموزش بدن آخرش سرد میشن و میرن
    یه برد معرفی کنیم با امکانات متوسط و سریعا شروع بکار کنیم . . .
    افراد صاحب نظر کمک کنند و یه برد متوسط معرفی کنند تا هر چه زودتر شرع بکار کنیم ( انشالا که جلوتر رفتیم دوستان مایل بودند بردهای با امکانات بیشتر وبهتر استفاده میکنیم )
    ممنون از همگی

  13. تشکرها از این نوشته :


  14. #228
    مدیر بخش STM32 sigma-mx آواتار ها
    تاریخ عضویت
    Nov 2009
    نام
    سعید شریفیان
    نوشته ها
    1,421
    تشکر
    804
    تشکر شده 2,520 بار در 978 پست

    پیش فرض

    مهندس اونقدر هام وقتمون رو داریم اینجا تلف نمیکنیم . می خوایم به بهترین جواب برسیم . دو تا برد حالا داریم . این برد آقای عسکری برسه ، بهرحال ایشون از نزدیک برد رو میبینند و یکی دوتا برنامه توش پروگرام میکنند ونظر میدند . در مورد وارد کردن یکی از این بردها هم قرار شد با آقای زارعی صحبت کنیم که اگه میصرفه که خیلی بهتره آماده بگیریم و درگیر ساخت نشیم .. شما هم نگران نباش ، کسی از ادامه کار پشیمون نمیشه ! لا اقل فقط ما چند نفر که داریم اینجا پست میدیم ..

  15. کاربران : 2 تشکر کرده اند از شما sigma-mx برای ارسال این پست سودمند:


  16. #229
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    من برد Papilio رو با Logicstart MegaWing خریدم. به نظر من این Wing های Papilio یکم گرون هستند. مثلا همین Logicstart قیمتش 48 دلار هست! 1 دونه ADC هشت کانالاه داره. 4 تا سگمنت یک DAC دلاتا سیگما 8 تا کلید و 8 تا LED ، یک پورت VGA و یک Joystick هم داره. این سایت شرکت Gadget Factory یک PDF آموزشی برای آموزش خود برد و زبان VHDL داره که من تقریبا نصفش رو خوندم. به نظرم خوب توضیح داده:
    http://hossein1387.persiangig.com/In...anFPGABook.pdf

    حالا یک نگاهی به این آموزش بندازین ببینین چه جوری هست.
    ویرایش توسط hossein1387 : 18-08-2013 در ساعت 22:11

  17. کاربران : 2 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  18. #230
    کاربر فعال jonbakhsh آواتار ها
    تاریخ عضویت
    Apr 2008
    نام
    H.J
    نوشته ها
    371
    تشکر
    192
    تشکر شده 225 بار در 101 پست

    پیش فرض

    من با همون cpld کار میکنم بهتره . fpga فقط گیت هاش بیشتره . امکانات اضافی هم توی ورتکس به بالا خوبه.
    تازه من قبلا 2 تا fpga چند تائی cpld توی پروگرام کردن خراب کردم .
    یه مشکل این بود که باید حتما بیت 4 تا سیمی که برای پروگرام کردن استفاده میشه یک خط زمین باشه .
    اگه این رعایت نشه توی پروگرام کردن چند تائی خطا میده (ولی پروگرام میشه ) و یک جائی دیگه اصلا پروگرام نمیشه . یا مثلا نوع بافرهای استفاده شده هم برام مشکل ساز شد .

  19. #231
    Banned
    تاریخ عضویت
    Apr 2013
    نام
    صادق علی بخشی
    نوشته ها
    1,148
    تشکر
    1,183
    تشکر شده 1,276 بار در 702 پست

    پیش فرض

    آقای عسگری حق باشماست قیمت بردهای WING خیلی زیاد هست حتی Arcod هم گرونه البته به نظر من
    ولی خوبی پاپیلیو در این هست که اکثر شماتیک این بردها رو قرار داده و خیلی راحت می تونیم خودمون درستشون کنیم حتی برخی از اینهارو خیلی راحت میشه روی ویربرد پیاده سازی کرد و بسته به نیازمون تهیه
    کنیم
    نقل قول نوشته اصلی توسط jonbakhsh نمایش پست ها
    من با همون cpld کار میکنم بهتره . fpga فقط گیت هاش بیشتره . امکانات اضافی هم توی ورتکس به بالا خوبه.
    تازه من قبلا 2 تا fpga چند تائی cpld توی پروگرام کردن خراب کردم .
    یه مشکل این بود که باید حتما بیت 4 تا سیمی که برای پروگرام کردن استفاده میشه یک خط زمین باشه .
    اگه این رعایت نشه توی پروگرام کردن چند تائی خطا میده (ولی پروگرام میشه ) و یک جائی دیگه اصلا پروگرام نمیشه . یا مثلا نوع بافرهای استفاده شده هم برام مشکل ساز شد .
    خب آقای جانبخش این چیزی که شما گفتید بر اثر کار کردن با FPGA و کسب تجربه بدست اومده
    الان یاد بگیریم خیلی بهتر هست تا اینکه بریم یه جایی و یه گاف بزرگ بدیم
    ویرایش توسط sadeghbakhshi : 18-08-2013 در ساعت 23:33

  20. کاربران : 2 تشکر کرده اند از شما sadeghbakhshi برای ارسال این پست سودمند:


  21. #232
    کاربر علاقه مند
    تاریخ عضویت
    Oct 2009
    نوشته ها
    32
    تشکر
    5
    تشکر شده 23 بار در 12 پست

    پیش فرض

    اگر به همین صورت پیش بریم به آرم های داخل FPGA می رسید ولی هنوز یک برد معمولیش رو هم راه ننداختیم.
    من با چند سری FPGA کار کردم ولی اگر وسیله درست باشه ، هیچ مشکلی پیش نمیاد. بعد بالا آمدن میشه verify کرد و حتی encrypt کرد و ... .
    به نظر من برای کارهایی که در ایران داریم spartan 3 جواب میده و بعدا میشه به سمت spartan 6 رفت.

    نظر کلی من هم راه انداختن یک پروژه درست حسابی logic analyzer هست که با اسپارتان 3 راحت راه می افته.
    ولی این بخش ها رو میدونم که :
    ساختن ضرب کننده های float va double معمولا جای زیادی از FPGA میگیره؛ برای همین برای پردازش سیگنال جای زیاد میخواهد. کم کم SP6 .
    برای پردازش تصویر هم با SP3 خیلی کار های ساده راه خواهد افتاد. SP6 برای خیلی الگوریتم ها لازم هست.
    با SP3 مبدل پروتکل های درست حسابی میشه در آورد؛ مثلا شبکه به سریال.
    برای بالا آمدن سیستم عامل ؛ SP3 واقعا کمه.

  22. کاربران : 4 تشکر کرده اند از شما mahdiksh برای ارسال این پست سودمند:


  23. #233
    کاربر فعال jonbakhsh آواتار ها
    تاریخ عضویت
    Apr 2008
    نام
    H.J
    نوشته ها
    371
    تشکر
    192
    تشکر شده 225 بار در 101 پست

    پیش فرض

    خوب من شروع میکنم . این جوری که من فهمیدم با ft245 میشه پروگرام کرد چون توی برد هائی که دوستان گزاشته بودند از ft245 استفاده کرده بودند فقط اگه یک شماتیک از خروجی های ft235 به fpga به من بدید کار تمامه. من میتونم برد کوچک طراحی کنم
    یا میشه این کارو کرد که خیلی راحته

    این برد ببینید مال arm stm هستش که توی فروشگاه هست . فکر کنم میشه برد fpga جوری طراحی کرد که به جای برد stm قرار بگیره یا یه چیزی توی این مایه .

  24. تشکرها از این نوشته :


  25. #234
    کاربر علاقه مند
    تاریخ عضویت
    Oct 2009
    نوشته ها
    32
    تشکر
    5
    تشکر شده 23 بار در 12 پست

    پیش فرض

    http://www.micro-nova.com/files/mercury_schematic.pdf
    این به نظرم درست هست. انشالا قسمت برنامه خاصی رو برد نباشه که کار پروگرم رو سخت کنه.

  26. کاربران : 3 تشکر کرده اند از شما mahdiksh برای ارسال این پست سودمند:


  27. #235
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    سلام راستش من یه مدت درگیر کار رو یه سایت کاملم درمورد چیزایی که خودم بلدم
    یه وب درست کردمو تمام مطالب وبای قبلمو قرار دادم اونجا
    ببخشید یه مدت نتونستم اینجا سربزنم حالا دیگه اصلا نمیدونم بحث تا کجاها رفته
    فقط یه رو باید وقت بزارم بخونم بچه ها تا حالا چیکار کردن

  28. #236
    کاربر فعال yusef110 آواتار ها
    تاریخ عضویت
    Mar 2011
    نوشته ها
    107
    تشکر
    87
    تشکر شده 62 بار در 40 پست

    پیش فرض

    دوستان سریع تر یکی رو انتخاب کنید که 1 ماه از تابستون بیشتر مونده .

  29. #237
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    متاسفانه هنوز برد به دستم نرسیده. میخواین با ModelSim یک چند تا Simulation ساده بزارم؟ فقط برای شروع!

  30. #238
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    راستش من زیاد با زبان سیستم سی کار نکردم راستش اصلا کار نکردم
    کلا فقط با وری لوگ کار کردم درمورد وی اچ دی الم مطالعه داشتم
    الانم اگه از من بپرسید که همون وری لوگ رو بیشتر ترجیح میدم
    چون هم نسبتا ساده تره و منابع آموزشیشم نسبتا فراوونه
    بازم بقیه بچه ها میدونن هرطور همگی تصمیم بگیرن
    من جدیدا بیشتر رو وبم دارم کار میکنم ولی قول میدم هرچی بلد بودمم اینجا برای کمک به شما عزیزان و خودم انجام بدم
    به وب منم سربزنیدو تو هرقسمتی که میتونید شروع کنید به فعالیت
    اگه انجمنیم که شما میتونید توش فعالیت کنید وجود نداشت تو قسمت نظرات بگید تا اون انجمنو راه بندازم

  31. #239
    مدیرکل انجمنها Doloop آواتار ها
    تاریخ عضویت
    Aug 2011
    نام
    حسين
    نوشته ها
    1,964
    تشکر
    3,312
    تشکر شده 3,086 بار در 1,371 پست

    پیش فرض

    آقایون بنده امروز یه آماری از قیمت این اسپارتان 3 گرفتم :

    من فقط دوتا فروشگاه غیر از پایا پیدا کردم که اسپارتان 3S400 داشتن

    قیمت جوان 144 پین 43000 تومن 208 پین 61000 تومن

    قیمت اون یکی 75000 تومن و اختلاف قیمت اونقدر زیاد بود که دیگه پشیمون شدم بپرسم چند پینه ؟
    که فکرکنم طرف مال این دوره زمونه نبود !؟!؟!

    در مورد تخفیف هم صحبت کردم جوان هیچ تخفیفی نداد و اون یکی هم گفت اگه هفت هشتا ببری یکی هزار تومن تخفیف میدم

    اون یکی (فروشگاه یونیک الکترونیک) عباسیان زیر همکف ...
    *** اللّهم عجّل لولیک الفرج ***

  32. کاربران : 6 تشکر کرده اند از شما Doloop برای ارسال این پست سودمند:


  33. #240
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    نقل قول نوشته اصلی توسط engineer1 نمایش پست ها
    راستش من زیاد با زبان سیستم سی کار نکردم راستش اصلا کار نکردم
    کلا فقط با وری لوگ کار کردم درمورد وی اچ دی الم مطالعه داشتم
    الانم اگه از من بپرسید که همون وری لوگ رو بیشتر ترجیح میدم
    چون هم نسبتا ساده تره و منابع آموزشیشم نسبتا فراوونه
    بازم بقیه بچه ها میدونن هرطور همگی تصمیم بگیرن
    من جدیدا بیشتر رو وبم دارم کار میکنم ولی قول میدم هرچی بلد بودمم اینجا برای کمک به شما عزیزان و خودم انجام بدم
    به وب منم سربزنیدو تو هرقسمتی که میتونید شروع کنید به فعالیت
    اگه انجمنیم که شما میتونید توش فعالیت کنید وجود نداشت تو قسمت نظرات بگید تا اون انجمنو راه بندازم
    مهندس اصلا SystemC رو دیگه بیخیال. تا جایی که من اطلاع دارم همه موافق بودن که با VHDL کار کنیم درسته؟؟؟ من میگم تا وقتی که برد قطعی میشه یکم با ModelSIM کار کنیم تا با زبون VHDL آشنا بشیم. حالا نه لزوما توی این تاپیک. یک تاپیک جدید باز کنیم و اونجا یکم VHDL کار کنیم.

  34. کاربران : 3 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


صفحه 12 از 19 نخستنخست 12345678910111213141516171819 آخرینآخرین

موضوعات مشابه

  1. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  2. ***** کتابهای الکترونیکی FPGA ها و زب
    توسط Hesam_K در انجمن FPGA
    پاسخ: 13
    آخرين نوشته: 07-05-2014, 16:24
  3. فرق این دو fpga
    توسط masoud58 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 02-04-2014, 21:59
  4. Fpga
    توسط arash12 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 23-05-2011, 02:15
  5. FPGA
    توسط saeed_niknami در انجمن FPGA
    پاسخ: 11
    آخرين نوشته: 24-12-2009, 01:06

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •