NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 2492 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 15 از 15

موضوع: اجرای کد متلب بر روی fpga

  1. #1
    کاربر علاقه مند majidma3000 آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    حسین منصوری
    نوشته ها
    92
    تشکر
    7
    تشکر شده 189 بار در 45 پست

    Exclamation اجرای کد متلب بر روی fpga

    آیا میشه کد متلب رو روی fpga اجرا کرد؟ (برای انجام محاسبات ریاضی پیچیده با سرعت بالا)
    تولباری برای متلب وجود داره که خروجی vhdl بده؟

  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  3. #2
    کاربر دائمی
    تاریخ عضویت
    Jun 2009
    نام
    روح الله خرم بخت
    نوشته ها
    403
    تشکر
    456
    تشکر شده 591 بار در 214 پست

    پیش فرض

    من هم همین سوال رو دارم

  4. #3
    کاربر علاقه مند edisonkocholoo آواتار ها
    تاریخ عضویت
    Aug 2008
    نام
    علیرضا شیرانی
    نوشته ها
    37
    تشکر
    19
    تشکر شده 37 بار در 12 پست

    پیش فرض

    بله دوستان میشه

    تولباری که شما دنبالش هستین به صورت پیش فرض رو متلب نپنیست. اگه دی وی دی نرم افزار ise را نصب کنین این تولبار به صورت اتوماتیک اضافه میشه به متلب و باهاش می تونین فرامین را به vhdl تبدیل کنین

  5. کاربران : 4 تشکر کرده اند از شما edisonkocholoo برای ارسال این پست سودمند:


  6. #4
    کاربر فعال
    تاریخ عضویت
    Dec 2011
    نام
    علی حاجی آبادی
    نوشته ها
    240
    تشکر
    46
    تشکر شده 237 بار در 155 پست

    پیش فرض

    می تونید از System Generator شرکت Xilinx استفاده کنید.

  7. تشکرها از این نوشته :


  8. #5
    کاربر علاقه مند majidma3000 آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    حسین منصوری
    نوشته ها
    92
    تشکر
    7
    تشکر شده 189 بار در 45 پست

    پیش فرض

    این system generator رو از کجا میشه پیدا کرد؟ زیاد دنبالش گشتم ولی مثل اینکه در سایت xilinx پو هست.
    من از متلب 2010 استفاده میکنم و قبل از نصب متلب ise 8.1 رو نصب کرده بودم، البته از Altium استفاده میکنم و ise رو فقط به خواطر ایجاد فایل jedec نصب کردم.
    در تولبار متلب هیچ گذینه ای برای تبدیل به vhdl پیدا نکردم. البته تو هلپش یه چیزایی دیدم ولی چیزی دستگیرم نشد.
    اگه ورژن جدید ise رو نصب کنم درست میشه؟

  9. #6
    کاربر علاقه مند majidma3000 آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    حسین منصوری
    نوشته ها
    92
    تشکر
    7
    تشکر شده 189 بار در 45 پست

    پیش فرض

    البته فکر میکنم بشه تو سایت متلب تولبار تبدیل به vhdl باشه و سایتش باز نمیشه، فکر کنم به خواطر تحریماس.

  10. #7
    کاربر فعال
    تاریخ عضویت
    Dec 2011
    نام
    علی حاجی آبادی
    نوشته ها
    240
    تشکر
    46
    تشکر شده 237 بار در 155 پست

    پیش فرض

    بله سایت Mathworks بخاطر تحریم ها باز نمی شه. باید با تحریم شکن (!!!) برید توش.
    دقیقاً نمی دونم چون من هم DVD نرم افزار SystemGenerator رو از استادم گرفتم.

  11. #8
    کاربر علاقه مند majidma3000 آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    حسین منصوری
    نوشته ها
    92
    تشکر
    7
    تشکر شده 189 بار در 45 پست

    پیش فرض

    من می خوام محاسبات ریاضی پیچیده ( فقط چند تا انتگرال و مشتق) رو روی FPGA پیاده کنم ، به نظر شما از چه نوع FPGA استفاده کنم (ترجیحا xilinx) اگه از بورد های موجود در بازار میشه استفاده کرد بهم حتما خبر بدین که مجبور نشم کلی هزینه کنم و بورد وارد کنم. خیلی ممنون از راهنماییتون

  12. #9
    همکار علمی
    تاریخ عضویت
    Jan 2010
    نوشته ها
    302
    تشکر
    945
    تشکر شده 289 بار در 151 پست

    پیش فرض

    برای انتگرال fpga ساختار مناسبی داره-
    xc3s400 برداش تو بازار هست و کار شما رو انجام میده-
    سایت محصولات در یک نگاه | شرکت رهپويان علم و صنعت آوا
    و نواوران برد هایی دارن برای این FPGA

  13. #10
    کاربر علاقه مند edisonkocholoo آواتار ها
    تاریخ عضویت
    Aug 2008
    نام
    علیرضا شیرانی
    نوشته ها
    37
    تشکر
    19
    تشکر شده 37 بار در 12 پست

    پیش فرض

    بله دقیقا با نصب نرم افزار ISE یه تولبار به تولبار های متلب اضافه میشه به اسم
    system generator
    می تونید باهاش ضرب های floating point را پیاده سازی کنین
    حتی امکان پیاده سازی fft هم داره تازه این ها ساده هاش هستن که من اطلاع دارم

    می تونید براش ip core پیاده سازی کنین با خودتون ip core بسازین و بفروشین

    خیلی قابلیت های بالایی داره

    پولی هم هست بله من اخرین ورژنش را از رئ اینترنت مجانی پیدا کردم . البته حجمش 7 گیگ بود و حدود 20 ساعت طول کشید تا با اینترنت 128 کیلو دانبودش کردم. کرک هم داشت راحت کرکش کردم

    فیلم موزشی هم رو اینترنت براش هست . بگردید پیدا می کنین. اگه حسابی به خنسی برخورد کردید ادرس خونتون را بدید تا براتون بفرستم

  14. #11
    عضو جدید
    تاریخ عضویت
    Jan 2011
    نوشته ها
    5
    تشکر
    3
    تشکر شده 3 بار در 2 پست

    پیش فرض تبدیل کد متلب به fpga

    سلام خدمت همه دوستان


    بله یه تولباکس به اسم HDL Coder داخل متلب هست که فایل سیمولینک رو به VHDL یا Verilog تبدیل می کنه بعد از تبدیل باید به وسیله HDL Verifier که در همین تولباکس هست فاایل رو بهینه و اصلاح کنید. معمولا شرکت های Altera و Xilinx به صورت جداگانه ابزار های مشابه و بهینه شده برای محصولات شرکت خوددشون رو هم ارایه میدن که خروجی و کارایی خیلی بهتری رو در مواردی داره که دوستان بالا بهش اشاره کردن.

  15. #12
    تازه وارد
    تاریخ عضویت
    Feb 2013
    نوشته ها
    1
    تشکر
    0
    تشکر شده 0 بار در 0 پست

    پیش فرض

    با سلام اگه ممکنه لینک دانلود نرم افزار system generator
    وفیلم آموزشی رو برام ایمیل کنید
    ایمیلم:www.mmff@yahoo.com
    با تشکر

  16. #13
    کاربر فعال engineer1 آواتار ها
    تاریخ عضویت
    Mar 2013
    نام
    علی طبسی
    نوشته ها
    109
    تشکر
    6
    تشکر شده 53 بار در 28 پست

    پیش فرض

    منم الان میخام برا پردازش تصویر با fpga کد متلبو تبدیل کنم تو هلپش یکم دنبال گشتم
    متلب یه تابع داره به نام makehdl() نوشته بود با این میشه جنریتش کرد استادمونم میگه امکانش تو خود متلب هست
    البته متلب hdl coder داره که با اون میشه بلوک دیاگرامو تبدیل به verilog و vhdl تبدیل کرد
    هنوز خودمم دنبالشم ایشاللا اگه درس درمون فهمیدم میام اینجا هم توضیحش میدم
    اگرم کسی زودتر یادگرفت خو اون به من بگه
    راستی ورژن متلب من 2012 هستش
    دارم ise14.2 دان میکنم ببینم شاید تو اونم چیزی پیدا بشه

  17. #14
    کاربر فعال sarab1 آواتار ها
    تاریخ عضویت
    Jul 2008
    نوشته ها
    329
    تشکر
    139
    تشکر شده 172 بار در 100 پست

    پیش فرض

    اتفاقا من هم کار رو شروع کردم با 2013b و 14.6 برای پیاده سازی یه بلوک مخابراتی برای پردازش سیگنال

  18. #15
    تازه وارد
    تاریخ عضویت
    Jun 2017
    نوشته ها
    1
    تشکر
    0
    تشکر شده 1 بار در 1 پست

    پیش فرض

    سلام،

    برای تبدیل کد متلب به VHDL می‌توانید به غیر از روش‌های خودکار، از روشی که در برنامه ویدئویی زیر گفته شده هم استفاده کنید:

    https://faradandish.com/%d8%aa%d8%a8...a9%d8%af-vhdl/

  19. تشکرها از این نوشته :


موضوعات مشابه

  1. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  2. جستجوی مقاله آموزشی avr ( گفتگوی دو دوست )
    توسط mapmap در انجمن پرسش و پاسخ (نرم افزارهای تخصصی)
    پاسخ: 5
    آخرين نوشته: 28-07-2013, 04:57
  3. پاسخ: 1
    آخرين نوشته: 11-05-2013, 00:12
  4. حل مشکل نیامدن منوی بوت برای نصب ویندوز روی برد s3c6410
    توسط shahrivar88 در انجمن میکرو کنترلر های 32 بیتی(arm)
    پاسخ: 1
    آخرين نوشته: 03-11-2012, 23:56
  5. fpga
    توسط siamakb در انجمن مباحث کار و کاریابی/تبلیغات/بازارچه
    پاسخ: 2
    آخرين نوشته: 29-10-2011, 00:18

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •