NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 4563 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 3 از 3

موضوع: fpga

  1. #1
    عضو جدید
    تاریخ عضویت
    Sep 2007
    نوشته ها
    12
    تشکر
    0
    تشکر شده 12 بار در 6 پست

    پیش فرض fpga

    سلام.
    خسته نباشید.
    یک برنامه که در زیر آورده شده برایه XC3S400-4pq208 نوشته شده است.
    این برنامه قرار است 8 بیت دیتا را از ورودی توسط INT2 بگیرد و در ارایه ذخیره کند.
    اخرین دیتا عدد 255 می باشد و بیشترین دیتا 128 می باشد که توسط خروجی Tجهت چک کردن استفاده می شود.
    خروجی های o هم که هر1 میکرو ثانیه not می شوند.
    مشکل اینه وقتی خروجی های o فعال هستند دیتا در ورودی به درستی دریافت نمیشه.
    دوستان اگر کسی می تونه این مشکل رو حل کنه pmبده لطفا.
    هزینه هم روچشم.
    ممنون.

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity lop is
    Port ( clk : in STD_LOGIC;--1Mhz
    o : inout std_logic_vector(0 to 125);--
    t : out std_logic;--check for corect save data
    int2 : in std_logic;--save data
    a: in std_logic_vector(7 downto 0));--8 bit data in
    end lop;
    architecture Behavioral of lop is
    ----------------array--------------------------------
    type ramm is array(0 to 4063)of std_logic_vector(7 downto 0);
    shared variable ram:ramm;
    --------------------save data------------------------------
    begin
    process(int2)
    variable cnt:integer range 0 to 4063:=0;
    begin
    if(rising_edge(int2))then
    ram(cnt):=a;
    cnt:=cnt+1;
    end if;
    end process;
    -------------output O----------------------------
    process(clk)
    begin
    if(clk' event and clk='1')then
    o<=not o;
    end if;
    end process;
    -----------------------check data------------------------------------
    t<='1' when "11111111"=ram(4063) else'0';--check for corect data
    end Behavioral;


  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نوشته ها
    Many
     

  3. #2
    مدير بخش STM32 sadmonew آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    صادق محمدي
    نوشته ها
    2,002
    تشکر
    6,283
    تشکر شده 3,115 بار در 1,408 پست

    پیش فرض

    با سلام
    لطفا سوالتون رو تو لينك زير عنوان كنيد.
    PLD , SPLD , GAL , CPLD , FPGA - ایـــــــــران میـــــــکرو ™

    با تشكر

  4. #3
    عضو جدید
    تاریخ عضویت
    Sep 2007
    نوشته ها
    12
    تشکر
    0
    تشکر شده 12 بار در 6 پست

    پیش فرض

    اخه بحث هزینه پیش اومد فکردم اینجا بهتره

موضوعات مشابه

  1. يادگيري FPGA ها قدم به قدم
    توسط Hesam1984 در انجمن FPGA
    پاسخ: 20
    آخرين نوشته: 22-09-2017, 20:01
  2. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  3. Fpga
    توسط arash12 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 23-05-2011, 02:15
  4. FPGA
    توسط saeed_niknami در انجمن FPGA
    پاسخ: 11
    آخرين نوشته: 24-12-2009, 01:06
  5. FPGA
    توسط mahdikan در انجمن پرسش و پاسخ (نرم افزارهای تخصصی)
    پاسخ: 1
    آخرين نوشته: 09-08-2008, 20:58

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •