NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 5172 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 1 از 1

موضوع: VHDL to Verilog and Verilog to VHDL Converter

  1. #1
    کاربر فعال alnz آواتار ها
    تاریخ عضویت
    Oct 2008
    نام
    عادل جون
    نوشته ها
    104
    تشکر
    18
    تشکر شده 69 بار در 34 پست

    پیش فرض VHDL to Verilog and Verilog to VHDL Converter

    good software to convert the vhdl to verilog and vice versa


    http://www.edaboard.com/ftopic347684.html

  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

موضوعات مشابه

  1. [درخواست] فول ادر به زبان vhdl
    توسط mmojtabaa در انجمن مباحث کار و کاریابی/تبلیغات/بازارچه
    پاسخ: 1
    آخرين نوشته: 25-01-2014, 03:24
  2. دسترسی چندین ماژول به یک محل از حافظه در Verilog
    توسط mansoory در انجمن پردازنده هاي ارایه ای
    پاسخ: 3
    آخرين نوشته: 04-10-2013, 22:03
  3. چراغ راهنما با verilog
    توسط mohza در انجمن FPGA
    پاسخ: 1
    آخرين نوشته: 09-06-2013, 14:34
  4. کمک درباره برنامه vhdl
    توسط medadnoki در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 15-03-2011, 13:49
  5. پاسخ: 10
    آخرين نوشته: 24-06-2010, 13:37

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •