NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 2451 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
صفحه 3 از 5 نخستنخست 12345 آخرینآخرین
نمایش نتایج: از 41 به 60 از 86

موضوع: اموزش برنامه نویسی تراشه های fpga

  1. #41
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    نقل قول نوشته اصلی توسط armstk نمایش پست ها
    این قضیه altera و xixlinx هم شده دقیقا قضیه stm32 و lpc !!! همیشه بینشون دعواست
    البته فکر نکنم این دعوا خیلی هم جدی باشه! چون تو ایران xilinx دم دست هست و شایدم ارزون، خوب همه سراغ چیزی میرند که موجود باشه .
    نقل قول نوشته اصلی توسط armstk نمایش پست ها
    با این که تویه ایران غریب تر هستن و کمتر مورد توجه قرار میگیرن
    altera هم اگه دوست داره فراوانیش را بیشتر کنه تا این گرد غربت برطرف بشه.

  2. تشکرها از این نوشته :


  3. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  4. #42
    مدیر بخش STM32 sigma-mx آواتار ها
    تاریخ عضویت
    Nov 2009
    نام
    سعید شریفیان
    نوشته ها
    1,421
    تشکر
    804
    تشکر شده 2,520 بار در 978 پست

    پیش فرض

    البته فکر نکنم این دعوا خیلی هم جدی باشه! چون تو ایران xilinx دم دست هست و شایدم ارزون، خوب همه سراغ چیزی میرند که موجود باشه .
    البته این دعوا بیشتر مثل PIC و AVR هست avr هم که ارزون تر بود همه رفتن سراغش !!!
    altera هم اگه دوست داره فراوانیش را بیشتر کنه تا این گرد غربت برطرف بشه
    دوست عزیز altera ی بیچاره همینم از زیر دستش در رفته که همین چند تا محصول وارد کشور شده !!!

  5. تشکرها از این نوشته :


  6. #43
    کاربر علاقه مند alimohammad_s آواتار ها
    تاریخ عضویت
    Aug 2011
    نوشته ها
    84
    تشکر
    27
    تشکر شده 22 بار در 11 پست

    پیش فرض

    سلام اقا به جای این حرفا لطف کنین آموزشو شروع کنین ........

    مرسسسسسسسسسسسسسسی

  7. #44
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض فیلم کار با نرم افزار ise 9.1

    نقل قول نوشته اصلی توسط alimohammad_s نمایش پست ها
    سلام اقا به جای این حرفا لطف کنین آموزشو شروع کنین ........

    مرسسسسسسسسسسسسسسی
    سلام
    در اینترنت یه فایل آموزش نرم افزار ISE بود pdf مناسبیه. و یک فیلم آموزشی. ولی این فیلم جالب نبود و اصلا معلوم نیست موس کجا را داره کلیک میکنه. برای همین یه فیلم آموزشی درست کردم از نحوه کار با این نرم افزار. البته قسمت test bench waveform را واردش نشدم. امیدوارم مفید باشه
    دانلود با حجم 16 مگ
    البته اگه مدیرت خواستند زحمت بکشند اینجا آپلودش کنند.

    البته اون pdf هم اینجاست

    نقل قول نوشته اصلی توسط cmuiran نمایش پست ها
    داداش mzarkoob شما بچه ها را بیدار کن من خودم پشتتم
    شما با دای
    agape استارت بزنین بقیه دوستان حتما استقبال میکنن
    موفق باشید و سربلند
    ببینیم و تعریف کنیم

  8. کاربران : 13 تشکر کرده اند از شما mzarkoob برای ارسال این پست سودمند:


  9. #45

  10. تشکرها از این نوشته :


  11. #46
    کاربر فعال
    تاریخ عضویت
    Sep 2010
    نوشته ها
    158
    تشکر
    102
    تشکر شده 72 بار در 47 پست

    پیش فرض

    نقل قول نوشته اصلی توسط mzarkoob نمایش پست ها
    سلام
    در اینترنت یه فایل آموزش نرم افزار ise بود pdf مناسبیه. و یک فیلم آموزشی. ولی این فیلم جالب نبود و اصلا معلوم نیست موس کجا را داره کلیک میکنه. برای همین یه فیلم آموزشی درست کردم از نحوه کار با این نرم افزار. البته قسمت test bench waveform را واردش نشدم. امیدوارم مفید باشه
    دانلود با حجم 16 مگ
    البته اگه مدیرت خواستند زحمت بکشند اینجا آپلودش کنند.

    البته اون pdf هم اینجاست


    ببینیم و تعریف کنیم
    استاد اجازه!ما نتونستیم دانلودش کنیم.فکر کنم لینک دانلود مشکل داره

  12. #47
    مدیرکل انجمنها Doloop آواتار ها
    تاریخ عضویت
    Aug 2011
    نام
    حسين
    نوشته ها
    1,964
    تشکر
    3,312
    تشکر شده 3,086 بار در 1,371 پست

    پیش فرض

    استاد اجازه!ما نتونستیم دانلودش کنیم.فکر کنم لینک دانلود مشکل داره
    من دوتاشم دان کردم درسته.
    لینک رو کپی کن و با IDM دانلود کن.
    خیلی ممنون
    *** اللّهم عجّل لولیک الفرج ***

  13. تشکرها از این نوشته :


  14. #48
    کاربر علاقه مند alimohammad_s آواتار ها
    تاریخ عضویت
    Aug 2011
    نوشته ها
    84
    تشکر
    27
    تشکر شده 22 بار در 11 پست

    پیش فرض

    با سلام تشکر بابت فیلم و زحمتی که کشیدید


    من نرم افزارو از کجا میتونم دانلود کنم

    مرسسسسسسسسسسسسی

  15. #49
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    خوب انگار یه کم استقبال شد !
    من نرم افزار را از کسی گرفتم. ولی فکر کنم از خود سایت xilinx اگه عضو بشی میتونید دانلود کرد.
    از دوستان نرم افزاری سراغ داره که بشه خوب باهاش از صفحه دسکتاب فیلم گرفت؟ snogat که موس را نشون نمیده ! این یکی هم که دارم(Power Screen Capture) حجم فیلم تولیدیش زیاد میشه

  16. کاربران : 2 تشکر کرده اند از شما mzarkoob برای ارسال این پست سودمند:


  17. #50
    مدير بخش STM32 sadmonew آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    صادق محمدي
    نوشته ها
    2,002
    تشکر
    6,283
    تشکر شده 3,115 بار در 1,408 پست

    پیش فرض

    نقل قول نوشته اصلی توسط mzarkoob نمایش پست ها
    از دوستان نرم افزاری سراغ داره که بشه خوب باهاش از صفحه دسکتاب فیلم گرفت؟ snogat که موس را نشون نمیده ! این یکی هم که دارم حجم فیلم تولیدیش زیاد میشه
    سلام مهندس
    اول از همه بابت فيلمي كه تهيه كردين ازتون تشكر ميكنم.
    در مورد نرم افزار نميدونم از نرم افزارهاي HyperCam يا Camtasia Studio استفاده كردين يا نه؟
    در كل هم كپچر ميكنه هم اديت و هم توليد نهايي فيلم با هر فرمتي كه بخواين.
    موفق باشيد.
    _____________________________________
    _____________________________________

  18. تشکرها از این نوشته :


  19. #51
    تازه وارد
    تاریخ عضویت
    Apr 2012
    نوشته ها
    3
    تشکر
    1
    تشکر شده 0 بار در 0 پست

    پیش فرض

    لطفا کمی در مورد actel توضیح بدید.

  20. #52
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    نقل قول نوشته اصلی توسط digitalsnake نمایش پست ها
    لطفا کمی در مورد actel توضیح بدید.
    من با نرم افزار Actel Libero IDE اصلا آشنا نیستم. اصلا نمی دونم از کجا میشه دانلود کرد! چه کارایی داره؟

  21. #53
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض معرفی دو سایت آموزش برنامه نویسی fpga

    سلام
    دیدم در این تایپیک سایتی برای آشنایی با زبان برنامه نویسی verilog و vhdl نیست، گفتم لینکش را گذاشته باشم.
    اول این سایت که با وریلاگ مثالهای خوبی زده
    دوم اینجا که هر دو زبان را آموزش مثال داره.

  22. تشکرها از این نوشته :


  23. #54
    کاربر علاقه مند haji110 آواتار ها
    تاریخ عضویت
    Aug 2010
    نوشته ها
    50
    تشکر
    46
    تشکر شده 56 بار در 14 پست

    پیش فرض

    سلام و خسته نباشید.
    من از صفحه اول تا اینجا را خوندم.
    حالا 2 سوال برام پیش اومد
    1- فرق FPGA وcpld چیست؟ آیا 2 پروسسور جدا هستند یا نه؟
    2- نظرتون در مورد نرم افزار Aldec Active-HDL چیه ؟ می خوام با این کار کنم.
    خوبه یا نه مثل فرق بسکام و کدویژن هست که باعث محدود شدن کارایی fpga میشه.
    --------------------------------------------

  24. #55
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    نقل قول نوشته اصلی توسط haji110 نمایش پست ها
    سلام و خسته نباشید.
    من از صفحه اول تا اینجا را خوندم.
    حالا 2 سوال برام پیش اومد
    1- فرق FPGA وcpld چیست؟ آیا 2 پروسسور جدا هستند یا نه؟
    2- نظرتون در مورد نرم افزار Aldec Active-HDL چیه ؟ می خوام با این کار کنم.
    خوبه یا نه مثل فرق بسکام و کدویژن هست که باعث محدود شدن کارایی fpga میشه.
    --------------------------------------------
    سلام
    cpld برای پیاده سازی برنامه های کوچک می باشد. در صورتی که حجم برنامه زیاد باشد نمی توان آن را پیاده سازی کند برای همین فقط برای برنامه های ساده استفاده می شود. همین طور دیگر نیازی به استفاده از حافظه فلاش ندارند و برنامه داخل خود تراشه پروگرام می شود. به این دو مورد انتخاب مناسبی برای افراد مبتدی می باشد. ولی وقتی یه کم باهاش کار کردی و دستتون تو برنامه نویسی راه افتاد و خواستید غیر از چشمک زن برنامه های خفن تر ! بنویسید میبینید که جواب نمیده و باید برید سراغ FPGA
    به لحاظ سخت افزاری FPGA باید یه فلاش رم داشته باشه که برنامه را داخل اون پروگرام کنیم و گر نه تا برق قطع میشه برنامه هم میپره. همین طور چند تا ولتاژ DC برای تغذیه لازم داره و ..
    در این زمینه ها اگه سرچ کنید کلی مطلب پیدا می کنید

  25. کاربران : 3 تشکر کرده اند از شما mzarkoob برای ارسال این پست سودمند:


  26. #56
    کاربر علاقه مند at91sam7x256 آواتار ها
    تاریخ عضویت
    May 2012
    نوشته ها
    63
    تشکر
    111
    تشکر شده 22 بار در 12 پست

    پیش فرض

    سلام
    موضوع رو خوب ادامه دادین و عالی. اگر امکان داره چند مثال عملی با زبان vhdl برای شروع بگین . و اگه انشالله بچه ها یاری کنن یه مدار کاربردی خوب رو پیادهسازی کنیم . من برد اموزشی xillinx xc9572-pc84 نووران نسخه جدید رو دارم یکی از امکانات جدیددش lcd , vga هست که خیلی بدرد میخوره نسخه قبلیش فقط سگمنت و led , این چیزا داشت . البته متاسفانه با parallel پورت پروگرم میشه . و 80 قیمت. 2 برابر قبلی.

  27. تشکرها از این نوشته :


  28. #57
    کاربر علاقه مند at91sam7x256 آواتار ها
    تاریخ عضویت
    May 2012
    نوشته ها
    63
    تشکر
    111
    تشکر شده 22 بار در 12 پست

    پیش فرض

    راستی جدید ترین کتاب کار با fpga بصورت عملی ترجمه دکتر قدرت سپید نام . هست (نمونه سازی fpga با مثالهایی از vhdl ).. انتشارات علوم رایانه چاپ اول تابستان 90 توش از ps2 mouse keyboard vga usart sram و در نهایت میکروکنترلر شرکت xillinx با نام microblaze رو گفته . و دقیقا برد اموزشی که یکی از دوستان در صفحات اول بعنوان اشنایی با یک برد گذاشته بودن رو توضیح میده یعنی spartan 3

  29. تشکرها از این نوشته :


  30. #58
    مدیر انجمن mzarkoob آواتار ها
    تاریخ عضویت
    Jun 2011
    نام
    مهدی زرکوب
    نوشته ها
    2,902
    تشکر
    1,202
    تشکر شده 2,317 بار در 1,273 پست

    پیش فرض

    نقل قول نوشته اصلی توسط at91sam7x256 نمایش پست ها
    سلام
    موضوع رو خوب ادامه دادین و عالی. اگر امکان داره چند مثال عملی با زبان vhdl برای شروع بگین . و اگه انشالله بچه ها یاری کنن یه مدار کاربردی خوب رو پیادهسازی کنیم . من برد اموزشی xillinx xc9572-pc84 نووران نسخه جدید رو دارم یکی از امکانات جدیددش lcd , vga هست که خیلی بدرد میخوره نسخه قبلیش فقط سگمنت و led , این چیزا داشت . البته متاسفانه با parallel پورت پروگرم میشه . و 80 قیمت. 2 برابر قبلی.
    سلام
    من یه کم verilog کار کردم و فرصت نشده vhdl کار کنم
    بله این نمونه برد را یکی دو تا چیز بهش اضافه کرده قیمتشا کرده دو برابر!! چه خبره مگه نمی ارزه اصلا

  31. #59
    عضو جدید maedekaviani آواتار ها
    تاریخ عضویت
    Aug 2010
    نام
    مائده کاویانی
    نوشته ها
    6
    تشکر
    7
    تشکر شده 0 بار در 0 پست

    پیش فرض

    من هم از ادامه این بحث استقبال می کنم
    با VHDL و Verilog هم کار کردم
    حتی چند فایل آموزشی هم دارم
    اما یکی به من برنامه Active hdl
    ورژن جدید را بده
    من ورژن 6.1 دارم اما جدیدش را می خوام

  32. #60
    مدیر بخش STM32 sigma-mx آواتار ها
    تاریخ عضویت
    Nov 2009
    نام
    سعید شریفیان
    نوشته ها
    1,421
    تشکر
    804
    تشکر شده 2,520 بار در 978 پست

    پیش فرض

    سلام کسی تا بحال با Fpga advantage کار کرده ؟ فکر کنم این و active HDL هیچ کدوم کد تولید نمیکنند فقط سنتز کننده هستند درسته ؟

صفحه 3 از 5 نخستنخست 12345 آخرینآخرین

موضوعات مشابه

  1. سوال در مورد fpga
    توسط engineer1 در انجمن پردازنده هاي ارایه ای
    پاسخ: 360
    آخرين نوشته: 17-01-2015, 15:16
  2. پاسخ: 8
    آخرين نوشته: 10-11-2012, 23:16
  3. آموزش fpga و vhdl
    توسط arsalan681 در انجمن FPGA
    پاسخ: 13
    آخرين نوشته: 22-05-2012, 12:42
  4. کتاب اموزش fpga به زبان c
    توسط 1nafar در انجمن FPGA
    پاسخ: 26
    آخرين نوشته: 22-11-2011, 16:51

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •