NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 2524 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 5 از 5

موضوع: کدنویسی vhdl مدار halfadder

  1. #1
    تازه وارد
    تاریخ عضویت
    Mar 2017
    نوشته ها
    3
    تشکر
    4
    تشکر شده 2 بار در 2 پست

    پیش فرض کدنویسی vhdl مدار halfadder

    سلام
    وقت همه بخیر
    من به تازگی شروع به یادگیری زبان vhdl کردم و فعلا تو مدارات ساده و مقدماتی دارم کار میکنم
    میخواستم کدنویسی یک نیم جمع کننده رو انجام بدم منتها نه به شکل ساده and و xor ...از دستور component میخواستم استفاده کنم متاسفانه ارور های زیر رو میده:



    من قبلا تعزیف کرده بودم and2 و xor2 رو به طبع باید توی Library باشه...
    همینطور کارکتر هایی که باهاشون and2 و xor2 رو تعریف کرده بودم توی این برنامه هم از همونا استفاده کردم نمیدونم چرا ارور داده (ارور 1)

  2. تشکرها از این نوشته :


  3. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نوشته ها
    Many
     

  4. #2
    کاربر فعال
    تاریخ عضویت
    Mar 2010
    نوشته ها
    236
    تشکر
    101
    تشکر شده 246 بار در 163 پست

    پیش فرض

    Xor2 به صورت پیش فرض داخل لایبری ise هست. پس میگه این اسم موجوده.
    اسم ها رو عوض کن درست میشه

  5. کاربران : 2 تشکر کرده اند از شما mrm برای ارسال این پست سودمند:


  6. #3
    تازه وارد
    تاریخ عضویت
    Jan 2017
    نوشته ها
    2
    تشکر
    0
    تشکر شده 3 بار در 2 پست

    پیش فرض

    سلام
    خود کامپوننت های and2 و xor2 رو کجا تعریف کردید؟

  7. کاربران : 2 تشکر کرده اند از شما fathi.mft برای ارسال این پست سودمند:


  8. #4
    تازه وارد
    تاریخ عضویت
    Mar 2017
    نوشته ها
    3
    تشکر
    4
    تشکر شده 2 بار در 2 پست

    پیش فرض

    نقل قول نوشته اصلی توسط fathi.mft نمایش پست ها
    سلام
    خود کامپوننت های and2 و xor2 رو کجا تعریف کردید؟
    من اشتباهی and2 و xor2 رو تو دو تا پروژه ی مختلف تعریف کرده بودم فکر میکردم تو لایبرری ذخیره مشه تو پروژه ای که میخوام میتونم فراخوانیشون کنم که اشتباه میکردم باید توی همون پروژه تعریف میشدند.

    نقل قول نوشته اصلی توسط mrm نمایش پست ها
    Xor2 به صورت پیش فرض داخل لایبری ise هست. پس میگه این اسم موجوده.
    اسم ها رو عوض کن درست میشه
    بله متوجه شدم خیلی ممنونم.

  9. تشکرها از این نوشته :


  10. #5
    تازه وارد
    تاریخ عضویت
    Jan 2017
    نوشته ها
    2
    تشکر
    0
    تشکر شده 3 بار در 2 پست

    پیش فرض

    سعی کنید از نرم افزار modelsim استفاده کنید خیلی مناسبتر جهت آموزش هست و بعد از تسلط به فانکشنالیتی برنامه اون رو بر روی FPGA ببرید

  11. تشکرها از این نوشته :


موضوعات مشابه

  1. آموزش VHDl
    توسط mirzaagha در انجمن پردازنده هاي ارایه ای
    پاسخ: 2
    آخرين نوشته: 17-11-2016, 11:52
  2. [درخواست] فول ادر به زبان vhdl
    توسط mmojtabaa در انجمن مباحث کار و کاریابی/تبلیغات/بازارچه
    پاسخ: 1
    آخرين نوشته: 25-01-2014, 03:24
  3. آرایه ماتریسی vhdl
    توسط siamakb در انجمن FPGA
    پاسخ: 0
    آخرين نوشته: 12-07-2011, 02:41
  4. کمک درباره برنامه vhdl
    توسط medadnoki در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 15-03-2011, 13:49
  5. VHDL to Verilog and Verilog to VHDL Converter
    توسط alnz در انجمن پرسش و پاسخ (نرم افزارهای تخصصی)
    پاسخ: 0
    آخرين نوشته: 26-02-2010, 16:17

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •