NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 3006 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 1 از 1

موضوع: شمارش تعداد بیت های یک در vhdl

  1. #1
    عضو جدید
    تاریخ عضویت
    Oct 2012
    نوشته ها
    5
    تشکر
    4
    تشکر شده 0 بار در 0 پست

    Exclamation شمارش تعداد بیت های یک در vhdl

    سلام خدمت دوستان عزیز و خداقوت



    بنده یه پروژه دارم که عملکرد آن به این شکله

    در صورت یک بودن بیت start،ورودی را گرفته و تعداد بیت های یک آن را بشمارد. سپس در صورت زوج بودن نتیجه، عدد یک و در غیر اینصورت، عدد صفر را به خروجی انتساب دهد. و همین روند تکرار شود.

    میخوام با برنامه modelsim پروژه رو بنویسم

    اگر دوستان لطف کنند به بنده کمک کنند ممنون میشم

  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نوشته ها
    Many
     

موضوعات مشابه

  1. [درخواست] فول ادر به زبان vhdl
    توسط mmojtabaa در انجمن مباحث کار و کاریابی/تبلیغات/بازارچه
    پاسخ: 1
    آخرين نوشته: 25-01-2014, 03:24
  2. تعبیه قابلیت آپدیت برنامه میکرو توسط کاربر عادی
    توسط sjafarim در انجمن میکرو کنترلر های 32 بیتی(arm)
    پاسخ: 9
    آخرين نوشته: 03-11-2013, 22:15
  3. آرایه ماتریسی vhdl
    توسط siamakb در انجمن FPGA
    پاسخ: 0
    آخرين نوشته: 12-07-2011, 02:41
  4. کمک درباره برنامه vhdl
    توسط medadnoki در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 15-03-2011, 13:49
  5. VHDL to Verilog and Verilog to VHDL Converter
    توسط alnz در انجمن پرسش و پاسخ (نرم افزارهای تخصصی)
    پاسخ: 0
    آخرين نوشته: 26-02-2010, 16:17

کلمات کلیدی این موضوع

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •