NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 3056 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 8 از 8

موضوع: نحوه‌ی لود کردن برنامه بر روی fpga

  1. #1
    تازه وارد
    تاریخ عضویت
    Aug 2011
    نوشته ها
    3
    تشکر
    3
    تشکر شده 1 بار در 1 پست

    پیش فرض نحوه‌ی لود کردن برنامه بر روی fpga

    سلام
    در مورد لود کردن برنامه‌ی نوشته شده به زبان VHDL روی FPGA سوال دارم. طبیعتا به پروگرمر نیاز هست که خریدم (همینی که عکسش رو گذاشتم)
    1.jpg
    با ISE Design Suite هم برنامه به زبان VHDL نوشتم. سوالم اینه که برنامه رو چه جوری میتونم منتقل کنم به FPGA تا اجرا شه؟ (FPGA هم Spartan 6 هستش)

  2. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نوشته ها
    Many
     

  3. #2
    کاربر دائمی alghasi آواتار ها
    تاریخ عضویت
    Apr 2012
    نام
    سعيد القاصي
    نوشته ها
    467
    تشکر
    22
    تشکر شده 519 بار در 265 پست

    پیش فرض

    وقتی کامپایل کردی و error نداشت باید پایه ها رو بهش تخصیص بدی.
    بعدش دوتا راه هست. یکی پرگرام گردن خود fpga. که البته بصورت موقت هست و بقطع برق پاک میشه. که در ابن حالت فقط bit فایلش رو روش میریزی.
    اگه میخوای برنامه همیشگی باشه باید فایل bit رو به فلش تبدیل کنی وبعد رویه فلشش بریزی.
    شما اگه اسم و مدل fpga و ایسی فلش رو بگی بهتر میشه توضیح داد.
    الان برنامه ise دم دستم نیست. که اسم کامل و دقیق این قسمت ها و برنامه ها رو بگم. فردا انشاالله میزارم.

  4. کاربران : 2 تشکر کرده اند از شما alghasi برای ارسال این پست سودمند:


  5. #3
    کاربر دائمی alghasi آواتار ها
    تاریخ عضویت
    Apr 2012
    نام
    سعيد القاصي
    نوشته ها
    467
    تشکر
    22
    تشکر شده 519 بار در 265 پست

    پیش فرض

    اگه بگردی تو اینترنت زیاد هست:
    پروگرامر Platform USB Cable به نوعی یک مبدل USB به JTAG است (البته کلی امکانات دیگه از جمله Debug از طریق ChipScope و ... را دارد) ولی اگر منظور شما پروگرام کردن چند FPGA در یک Chain است، کافی است تا خروجی Chain آی سی اول به ورودی بعدی متصل شود و به همین ترتیب. در این صورت با زدن Initial Chain در بخش Impact، به صورت هم زمان تمامی FPGAها نمایش داده می شود و هر کدام را می توان به صورت جداگانه پروگرام کرد.

  6. کاربران : 2 تشکر کرده اند از شما alghasi برای ارسال این پست سودمند:


  7. #4
    کاربر دائمی alghasi آواتار ها
    تاریخ عضویت
    Apr 2012
    نام
    سعيد القاصي
    نوشته ها
    467
    تشکر
    22
    تشکر شده 519 بار در 265 پست

    پیش فرض

    برای اختصاص پین یا در منو tree view سمت راست پایین یا از منو تولز قسمت plan ahead گزینه floorplan area.... رو انتخاب کنید.
    قسمت پاین صفحه زبانه (tab) مربوط به I/o رو بزنید. ورودی خروجی هیی که مشخص کردین هستن. به اونا مقدار پورتشون رو نسبت بدین.
    توصیه میکنم تنظیماتش رویه lvcmos33 باشه و slow.

  8. کاربران : 2 تشکر کرده اند از شما alghasi برای ارسال این پست سودمند:


  9. #5
    تازه وارد
    تاریخ عضویت
    Aug 2011
    نوشته ها
    3
    تشکر
    3
    تشکر شده 1 بار در 1 پست

    پیش فرض

    جناب alghasi، تشکر از پاسختون. من کد رو نوشتم، پین‌ها رو هم اختصاص دادم. تو این قسمت‌ها مشکلی ندارم. الآن مشکلم اینه که می‌خوام کدی که کامپایل شده روی FPGA بریزم تا اجرا بشه. ولی نمی‌دونم چطور باید این کار رو بکنم؟ (دنبال نرم‌افزار لودرش میگردم)
    این بردیه که خریدم

    Xilinx FPGA Spartan6 XC6SLX9
    مدل برد ESPIER III v105

  10. #6
    تازه وارد
    تاریخ عضویت
    Aug 2011
    نوشته ها
    3
    تشکر
    3
    تشکر شده 1 بار در 1 پست

    پیش فرض

    مشکلم با این ویدیو حل شد
    xilinx HowToUse iMPACT download training - YouTube

  11. تشکرها از این نوشته :


  12. #7
    کاربر دائمی alghasi آواتار ها
    تاریخ عضویت
    Apr 2012
    نام
    سعيد القاصي
    نوشته ها
    467
    تشکر
    22
    تشکر شده 519 بار در 265 پست

    پیش فرض

    ویدیو خوبیه. موفق باشین

  13. #8
    مدیر باز نشسته shayanmelody آواتار ها
    تاریخ عضویت
    Jan 2011
    نام
    شایان نیکزاد
    نوشته ها
    576
    تشکر
    283
    تشکر شده 1,038 بار در 473 پست

    پیش فرض

    نقل قول نوشته اصلی توسط mrzk نمایش پست ها
    سلام
    در مورد لود کردن برنامه‌ی نوشته شده به زبان VHDL روی FPGA سوال دارم. طبیعتا به پروگرمر نیاز هست که خریدم (همینی که عکسش رو گذاشتم)
    1.jpg
    با ISE Design Suite هم برنامه به زبان VHDL نوشتم. سوالم اینه که برنامه رو چه جوری میتونم منتقل کنم به FPGA تا اجرا شه؟ (FPGA هم Spartan 6 هستش)
    شما همه پایه های برد رو تونستی طبق شماتیک تطبیق بدی ؟
    من رو چند تا مورد به تناقض رسیدم :|



    بعد از چند وقت برگشتم



موضوعات مشابه

  1. اجرای کد متلب بر روی fpga
    توسط majidma3000 در انجمن FPGA
    پاسخ: 14
    آخرين نوشته: 02-06-2017, 14:52
  2. ساخت پروگرامر fpga با usb
    توسط jonbakhsh در انجمن FPGA
    پاسخ: 21
    آخرين نوشته: 07-08-2015, 12:20
  3. فرق این دو fpga
    توسط masoud58 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 02-04-2014, 21:59
  4. fpga
    توسط siamakb در انجمن مباحث کار و کاریابی/تبلیغات/بازارچه
    پاسخ: 2
    آخرين نوشته: 29-10-2011, 00:18
  5. Fpga
    توسط arash12 در انجمن FPGA
    پاسخ: 3
    آخرين نوشته: 23-05-2011, 02:15

کلمات کلیدی این موضوع

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •