PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : سوال در مورد fpga



صفحه ها : [1] 2

engineer1
30-06-2013, 12:57
بگید که به نظر شما از کجا شروع کنم بهتره
از اموزش زبان سخت افزاری
یا نرم افزار modelsim
یا نرم افزارهای پروگرام
هرجور شما دوستان دوس دارید

morteza_rk
30-06-2013, 14:20
سلام مهندس

معلومه که این بحث طرفدار داره! شما خودت بهتر می دونی که از کجا باید شروع کنی. ولی به نظر من اول از سخت افزار خود fpga و انواع حافظه ی اون شروع کنید و سپس امکاناتش و بعدم برنامه نویسی و نحوه ی بکارگیری امکاناتش.

bascom2
30-06-2013, 14:38
با سلام
ما هم بشدت منتظریم استاد :018:

roohlla
30-06-2013, 15:03
بله ما هم منتظریم

mirzaagha
30-06-2013, 15:56
با کمال میل... منتظریم

esisafa
30-06-2013, 16:49
منتظریم.
ابتدا مقداری سخت افزار، بعد یک مثال ساده ی برنامه نویسی مثل چشمک زن، بعد پروگرم کردن بعد چزئیات بیشتر برنامه نویسی و سنتز و ...

Doloop
30-06-2013, 20:24
ما هم منتظریم :wink:

dariush_ab
30-06-2013, 21:46
سلام بر دوستان عزیز من میخام آموزش fpga از اول تا زمان پروگرام کردن آی سی رو قرار بدم
اگه علاقه دارید نظر بزارید تا شروع کنم
و بگید که به نظر شما از کجا شروع کنم بهتره
از اموزش زبان سخت افزاری
یا نرم افزار modelsim
یا نرم افزارهای پروگرام
هرجور شما دوستان دوس دارید

بسیار عالی است مهندس.
اگر بشه قبل از هر چیز یک سخت افزار حداقل یا یک برد برای تست برنامه ها معرفی کنید یا بسازیم خیلی خوبه چون برنامه نویسی زمانی لذت داره که خروجی را روی سخت افزار مشاهده کنیم.
اگر این به بررسی این xilinix ise هم بعدش بپردازید عالی میشه.
البته نظر نهایی نظر خودتون و دوستان است.

mzarkoob
30-06-2013, 23:35
اگه علاقه دارید نظر بزارید تا شروع کنم

سلام
اگر این سنت نصف کاره رها کردن تایپیک را انجام نمی دید بسم الله ....
:018:

mansoory
01-07-2013, 09:27
سلام مهندس جان. همونطور که دوستان گفتند، با محصولات Xilinix آموزش رو شروع بفرمایید. و نرم افزار ISE
ممنون از اینکه دانشتون رو در اختیار دیگران قرار میدهید.

sarab1
01-07-2013, 13:01
منم هستم در زمینه نرم افزار ها هم کرک آخرین نسخه های ISE و Quartus رو دارم.و تا حدی با هاشون کار کردم فقط اگه بتونید پایه ای و دقیق شروع کنید و نصفه رها نکنید ازتون ممنونم.

engineer1
01-07-2013, 13:17
سلام بر تمامی دوسداران دنیای الکترونیک
خیلی خوشجالم که میبینیم این مطلب این همه خواهان داره
من خودم xilinix رو زیاد باهاش آشنایی ندارم و بیشتر و Altera مطالعه کردم
و همینطور که خودتون میدونید هم تو سخت افزار و هم تو پروگرام و نرم افزارهای مرتبط یه تفاوتایی دارن
من اینجا یه فایل pdf آموزشی خیلی ابتدایی رو واسه دانلود میزارم
خیلی سادس واسه کسایی که هنوز اول راهن که بتونن با ما راه بیان
این خیلی کلیه و تو xilinix و Alter تفاوتی نداره
اینو بخونید و نظراتتونو بگید
نتونستم اینجا آپلود کنم یکم حجمش زیاد بود
میزارم تو وبلاگم میتونید ازونجا دانلود کنید
من خودم اینو از تکنو الکترو گرفتم اونم از یجا دیگه گربته باز:0013:
وبلاگ تخصصی مکاترونیک (You can see links before reply)

engineer1
01-07-2013, 13:24
منم هستم در زمینه نرم افزار ها هم کرک آخرین نسخه های ISE و Quartus رو دارم.و تا حدی با هاشون کار کردم فقط اگه بتونید پایه ای و دقیق شروع کنید و نصفه رها نکنید ازتون ممنونم.
سلام من نرم افزار کوارتزII 12.1 رو دارم ولی نمیدونم چرا کار نمیکه اگه میتونی کمک کنی ممنون میشم عزیز:wink:

esisafa
01-07-2013, 18:15
یک fpga که حدودا 20 ورودی خروجی داشته باشه و بشه 50 گیت باهاش پیاده سازی کرد چنده. اصلا کوچکترین و ارزونترین fpga چی هست.
میخوام یک لچ و بافر سه حالته 8 بیت و چند فیلیپ فلاپ باهاش راه بندازم

engineer1
01-07-2013, 18:51
یک fpga که حدودا 20 ورودی خروجی داشته باشه و بشه 50 گیت باهاش پیاده سازی کرد چنده. اصلا کوچکترین و ارزونترین fpga چی هست.
میخوام یک لچ و بافر سه حالته 8 بیت و چند فیلیپ فلاپ باهاش راه بندازم
سلام من زیاد تو قیمتاش نیستم باید تو فروشگاهای الکترونیکی بچرخی
که البته نمیدونم کویر الکترونیک داره یا نه من که ندیدم خودم تو سایت کویر الکترونیک
این فروشگاه بیشتر میکرو داره
ولی سایتای دیگه یی هستن مثلا یه سایتی هست که تخصصی fpga میفروشه من خودم تاحالا ازش خرید نکردم
میتونید یه سری به اونجا بزنید آدرسشو میزارم براتون
eshop.fpgagroup.com

engineer1
01-07-2013, 18:54
خب اگه این فایلو گرفتید و در حال مطالعش هستید حالا فکر میکنم یکم بهتر باشه درمورد سخت افزار همین fpga که تو همین فایلم هست صحبت کنیم
از دوستانی هم که بلدن تقاضا دارم که تو این پست کمک کنن
بعد از این اطلاعات بهتره به نظر من که درمورد نرم افزارهای مربوطه و آموزش کار باهاشون صحبتو شروع کنیم
نظر یادتون نره

engineer1
01-07-2013, 21:08
فکر میکنم بهتره از نرم افزار شبیه سازی شروع کنم
و شبیه سازی که من ازش استفاده میکنم نرم افزار modelsim که کار باهاش خیلی سادس
ولی فایل pdfشو برا استفاده شما عزیزان میزارم
نرم افزارشم تو وبلاگ دانلود نرم افزارم هست که ادرسشو میزارم اینجا اگه خواستید میتونید برید و دانلودش کنید
دانلود نرم افزار (You can see links before reply)
دانلود فایل اموزشی (You can see links before reply)
نشر یادتون نره هم اینجا و هم تو وبلاگام
ممنون

dariush_ab
01-07-2013, 22:48
این هم یک لینک برای پروگرمر fpga با pic4550:

تا جایی که من میدونم پروگرمر usb برای fpga فوق العاده گرون هستش ولی با لینک زیر با قیمت خیلی خوبی میشه ساخت.من با طرف خیلی صحبت کردم و خیلی وارد بود.
mikroElektronika • View topic - FPGA programmer with PIC18F4550 on USB (You can see links before reply)

Pioneer
02-07-2013, 02:01
اقای صفا منش با این تعداد گیت احتیاجی به fpga نیست شما چند 100 برابر این تعداد گیت رو با cpld میتونید راه بندازید - هم ساده تره هم ارزونتره و هم به صرفه تر- حدود 10 تا 20 تومن باید باشه! برای زایلینکس و الترا فرق میکنه هر کدوم- برای fpga حتما باید رام کانفیگ کنار چیپ نصب بشه وب دون اون برنامه با قطع برق پاک میشه ولی برای cpld اینطوری نیست- در کل cpld خیلی خیلی ساده تر و کوجکتر از fpga هست ولی برای کار شما بهترینه- برای شروع با fpga بهتره اول با این ها شروع کنید- تا اینکه بعدا برید سراغ fpga -

mansoory
02-07-2013, 09:45
این هم یک لینک برای پروگرمر fpga با pic4550:

تا جایی که من میدونم پروگرمر usb برای fpga فوق العاده گرون هستش ولی با لینک زیر با قیمت خیلی خوبی میشه ساخت.من با طرف خیلی صحبت کردم و خیلی وارد بود.
mikroelektronika • view topic - fpga programmer with pic18f4550 on usb (You can see links before reply)

برای پروگرامر، بهتره دنبال مدارمبدل usb به lpt باسرعت بالا باشیم. در بخش avr صحبتش شده ولی من هنوز تست نکرده ام. کم خرج ترین راهه که میشه از طریق اون با نرم افزارهای رسمی fpga ها کار کرد.

dariush_ab
02-07-2013, 10:54
برای پروگرامر، بهتره دنبال مدارمبدل usb به lpt باسرعت بالا باشیم. در بخش avr صحبتش شده ولی من هنوز تست نکرده ام. کم خرج ترین راهه که میشه از طریق اون با نرم افزارهای رسمی fpga ها کار کرد.
من یک مبدل خوبش رو برای cpld تست کردم کار نکرد.

engineer1
02-07-2013, 11:31
من یک مبدل خوبش رو برای cpld تست کردم کار نکرد.
اگه یکم تو سایتا بگردی برد تبدیل پارالل به یو اس بی رو پیدا میکنی
نمیشه فقط از یک تبدیل کننده استفاده کرد چون پورت پارالل مستقیما به بستر مین برد متصله و باید به برد مخصوص تبدیل اینکارو بکونی فکر میکنم تو همین سایت ایران میکرو هم باشه ولی اگه بتونی از برد یو اس بی استفاده کنی که خو بهتره
بازم دوستانی که درست کردن و تونستن استفاده کنن میتونن تو همین پست قرار بدن برای استفاده دیگر دوستان

engineer1
02-07-2013, 11:34
من همونطور که قبلا هم گفته بودم فقط با altera کار کردم ولی وقتی دوستان گفتن xilinix من رفتم و یه سرچی تو اینترنت کردم و آموزش نرم افزار و خود نرم افزارشو پیدا کردم و کمی مطالعه کردم فکر کنم بشه اون رو هم واسه آموزش بزاریم
لطفا اگه فایلهایی رو که تا الان واسه دانلود گذاشتم دانلود کردید نظرتونو درموردشون بگید
میتونید هم اینجا نظر بزارید و هم تو وبلاگای خودم
یادتون نره

engineer1
02-07-2013, 11:39
این هم یک لینک برای پروگرمر fpga با pic4550:

تا جایی که من میدونم پروگرمر usb برای fpga فوق العاده گرون هستش ولی با لینک زیر با قیمت خیلی خوبی میشه ساخت.من با طرف خیلی صحبت کردم و خیلی وارد بود.
mikroelektronika • view topic - fpga programmer with pic18f4550 on usb (You can see links before reply)
خیلی ممنون ازین مطلبتون
شماخودتونم این برد رو امتحان کردید یا نه؟

esisafa
02-07-2013, 12:12
اقای صفا منش با این تعداد گیت احتیاجی به fpga نیست شما چند 100 برابر این تعداد گیت رو با cpld میتونید راه بندازید - هم ساده تره هم ارزونتره و هم به صرفه تر- حدود 10 تا 20 تومن باید باشه! برای زایلینکس و الترا فرق میکنه هر کدوم- برای fpga حتما باید رام کانفیگ کنار چیپ نصب بشه وب دون اون برنامه با قطع برق پاک میشه ولی برای cpld اینطوری نیست- در کل cpld خیلی خیلی ساده تر و کوجکتر از fpga هست ولی برای کار شما بهترینه- برای شروع با fpga بهتره اول با این ها شروع کنید- تا اینکه بعدا برید سراغ fpga -
ممنون
10 تا 20 خیلی زیاده. چون همین کارو با آیسی های منطقی cmos که هرکدوم حدود 1000 تومانه و در کل کمتر از 5 تومان میشه طراحی کرد. ولی با cpld خیلی جالبتر میشه و کل ماژول فقط یک آیسی کوچک داره
به نظر میرسه که چون حجم مدار کمه باید از همون آیسی های منطقی cmos استفاده کرد.

engineer1
02-07-2013, 12:18
امروز میخام یکم درمورد نرم افزارهای پروگرام بحث کنیم
چون دوستان بیشتر طرفدار زایلینکس هستن من اول ازون شروع میکنم
همونطور که خودتون میدونید نرم افزار راه اندازی و پروگرام زایلینکس ise هستش
من یه فایل آموزشیpdf واسه دانلود شما عزیزان تو وبلاگم قرار میدم که میتونید دانلودش کنید
و خود نرم افزار ise 9.1 رو هم تو وبلاگ دانلود نرم افزارم قرار میدم که اون رو هم میتونید بدون دردسر دانلود کنید
فقط نظر و همفکری یادتون نره
هم تو خود وبلاگ و هم اگر خواستید همینجا
آموزش نرم افزار ISE9.1
دانلود فایل pdf آموزشی
(You can see links before reply) دانلود نرم افزار
(You can see links before reply) یه فیلم اموزشی پروگرم کردن هم هست البته من خودم ندیدم ولی لینک دانلودشو همینجا میزارم تا دانلود کنید
دانلود فیلم آموزشی (You can see links before reply)

sadeghbakhshi
02-07-2013, 12:29
سلام
خیلی خوشحال شدم که این تاپیک رو دیدم
من هم سعی میکنم هر کمکی از دستم بر بیاد انجام بدم
البته Fpga کار نکردم :mrgreen:
این هم یه راهنمایی برای دوستان
برای قیمت گرفتن یک قطعه میتونید به سایت جوان الکترونیک مراجعه کنید
اکثر قطعات رو دارند از توی اونجا میتونید قیمت حدودی رو در بیارید
بعضی از قیمتهای این سایت حداقل قیمت بازار هست
فروشگاه جوان الکترونیک | صفحه اصلی (You can see links before reply)
اینجا هم یکی از دوستان یه پروگرامر یواس بی معرفی کرده :wink:
ارزانترین پروگرامر usb-blaster برای fpga - صفحه 2 - ایـــــــــران میـــــــکرو ™ (You can see links before reply)

ممنون
10 تا 20 خیلی زیاده. چون همین کارو با آیسی های منطقی cmos که هرکدوم حدود 1000 تومانه و در کل کمتر از 5 تومان میشه طراحی کرد. ولی با cpld خیلی جالبتر میشه و کل ماژول فقط یک آیسی کوچک داره
به نظر میرسه که چون حجم مدار کمه باید از همون آیسی های منطقی cmos استفاده کرد.

اقای صفا منش شما اگر بتونید مدار منطقی تون رو با گیتهای nand و nor پیاده سازی کنید
بهتر هست انگار قیمت این دوتا گیت کمتر هست و اگر اشکالی توی مدار پیش اومد دیگه سروکارتون با یکی دو نوع گیت هست و فقط یکی دوتا دونه قطعه که یک شکل هست رو عوض میکند
راستی من توی سایت جوان دیدم با 7 هزارتومن هم میشد CPLD خرید
:0013:
خب این جوری که من متوجه شدم حافظه ی CPLD با قطع برق پاک نمیشه و با یه 5 ولت هم میشه راه اندازیش کرد و طراحی مدارش هم ساده تر از FPGA هست چون برای FPGA باید یه رام در نظر بگیریم که اگر اشتباه نکنم برای این هست که با قطع برق اطلاعات و برنامه پاک نشه هر چند که با گیتهای خود قطعه میشه :x
رام طراحی کرد ولی خوب همون رام هم با قطع برق از بین میره :mrgreen:
حالا من یه سوالی دارم ایا برنامه ها و دستوراتی که برای FPGA نوشته میشه رو میشه روی CPLD هم پیاده کرد ؟
و شما میخواهید وریلوگ ازموش بدید یا وی اچ دی ال؟ :mrgreen:
و یه چیز دیگه این هست که PLD .SPLD با FPGA چه فرقی داره ؟

engineer1
03-07-2013, 12:05
من modelsim نصب کردم و منتظرم
آموزششم گذاشتم اونم بخون
تا ایشاللا آموزش زبان سخت افزاریرم بزارم

sigma-mx
03-07-2013, 12:32
سلام
من قبلا با cpld کار کردم . البته altera
cpld ها برای شروع بسیار مناسب هستند و قویا توصیه میکنم که با اینا شروع کنید . از لحاظ برنامه ریزی مثل میکرو هستند . از اونجا که بسیار پیش میاد برای قسمت کوچکی از طرحمون از یک مدار با سرعت بالا و یا یک مدار منطقی ترکیبی ( نه ترتیبی) استفاده کنیم بنابراین همین cpld های با ظرفیت محدود هم در بسیاری اوقات مشکل ما رو حل میکنه . مگر زمانی که کل طراحی رو قراره با cpld انجام بدیم . cpld ها هر دو کمپانی هم تو بازار موجوده خوشبختانه . حتی به چند مدل footprint . از ساده ترین مدل برای Altera رو میشه به EPM7032 و برای Xilinx میشه به XC9572 نام برد ....

در مورد زبان برنامه نویسی و طراحی من خودم Verilog کار کردم . با اینکه میبیتم خیلیا با VHDL کار میکنند بدلیل بیس زبان C که Verilog داره بنظرم قابل فهم تره و هنوز هم تو خیلی دانشگاهها دارن همینو استادای سخت افزار تدریس میکنند. زیاد فرقی نمیکنه

engineer1
03-07-2013, 13:26
سلام
من قبلا با cpld کار کردم . البته altera
cpld ها برای شروع بسیار مناسب هستند و قویا توصیه میکنم که با اینا شروع کنید . از لحاظ برنامه ریزی مثل میکرو هستند . از اونجا که بسیار پیش میاد برای قسمت کوچکی از طرحمون از یک مدار با سرعت بالا و یا یک مدار منطقی ترکیبی ( نه ترتیبی) استفاده کنیم بنابراین همین cpld های با ظرفیت محدود هم در بسیاری اوقات مشکل ما رو حل میکنه . مگر زمانی که کل طراحی رو قراره با cpld انجام بدیم . cpld ها هر دو کمپانی هم تو بازار موجوده خوشبختانه . حتی به چند مدل footprint . از ساده ترین مدل برای Altera رو میشه به EPM7032 و برای Xilinx میشه به XC9572 نام برد ....

در مورد زبان برنامه نویسی و طراحی من خودم Verilog کار کردم . با اینکه میبیتم خیلیا با VHDL کار میکنند بدلیل بیس زبان C که Verilog داره بنظرم قابل فهم تره و هنوز هم تو خیلی دانشگاهها دارن همینو استادای سخت افزار تدریس میکنند. زیاد فرقی نمیکنه

سعید جان خسته نباشی
من خودم بیشتر با fpga کار کردم وتفاوتاشو با asic میدونم ولی تفاوتایfpga و cpld رو نمیدونم
اگه میشه شما هم یه زحمتی بکش و یه تاپیک برا آموزش کامل cpld راه بنداز ما هم کمک میکنیم

esisafa
03-07-2013, 13:30
سلام
من قبلا با cpld کار کردم . البته altera
cpld ها برای شروع بسیار مناسب هستند و قویا توصیه میکنم که با اینا شروع کنید . از لحاظ برنامه ریزی مثل میکرو هستند . از اونجا که بسیار پیش میاد برای قسمت کوچکی از طرحمون از یک مدار با سرعت بالا و یا یک مدار منطقی ترکیبی ( نه ترتیبی) استفاده کنیم بنابراین همین cpld های با ظرفیت محدود هم در بسیاری اوقات مشکل ما رو حل میکنه . مگر زمانی که کل طراحی رو قراره با cpld انجام بدیم . Cpld ها هر دو کمپانی هم تو بازار موجوده خوشبختانه . حتی به چند مدل footprint . از ساده ترین مدل برای altera رو میشه به epm7032 و برای xilinx میشه به xc9572 نام برد ....

در مورد زبان برنامه نویسی و طراحی من خودم verilog کار کردم . با اینکه میبیتم خیلیا با vhdl کار میکنند بدلیل بیس زبان c که verilog داره بنظرم قابل فهم تره و هنوز هم تو خیلی دانشگاهها دارن همینو استادای سخت افزار تدریس میکنند. زیاد فرقی نمیکنه
خوبه. پس شما هم دست به کار شین.
قیمت همین دو نمونه ی ساده که گفتین چنده؟؟؟؟

esisafa
03-07-2013, 13:36
ise چیه modelsim چیه
فرقشون در چی هست؟

engineer1
03-07-2013, 13:42
سلام
ایشالا دیگه آقایون تمام اموزشای گذشته رو دانلود کردن و مطالعه هم کردن
دیگه باید بریم سراغ زبان برنامه نویسی سخت افزاری
چندتا زبان سخت افزاری دارم مثل verilog vhdl ahdlو......
که معروفا و پرکاربردشون verilog و vhdi هستن
البته من خودم با verilg کار میکنم چون خیلی شبیه زبانc هستش
ولی اموزش هردوشونو برا دانلود قرار میدم
هرکی باهرکدوم که راحتتره
البته زیاد خودتونو اذیت نکند چون نرم افزار هایی هستن که شما مدارتون به شکل شماتیک میکشید و اونا برنامرو به زبان مورد نظر بهتون میدن که به نام hdl designerهستن
آموزش اونارو هم در پستهای بعدی میزارم براتون هم آموزششونو و هم خودشونو
مثل active hdl و hdl works
دانلود آموزش verilogوvhdl (You can see links before reply)

esisafa
03-07-2013, 13:44
امروز میخام یکم درمورد نرم افزارهای پروگرام بحث کنیم
چون دوستان بیشتر طرفدار زایلینکس هستن من اول ازون شروع میکنم
همونطور که خودتون میدونید نرم افزار راه اندازی و پروگرام زایلینکس ise هستش
من یه فایل آموزشیpdf واسه دانلود شما عزیزان تو وبلاگم قرار میدم که میتونید دانلودش کنید
و خود نرم افزار ise 9.1 رو هم تو وبلاگ دانلود نرم افزارم قرار میدم که اون رو هم میتونید بدون دردسر دانلود کنید
فقط نظر و همفکری یادتون نره
هم تو خود وبلاگ و هم اگر خواستید همینجا
آموزش نرم افزار ISE9.1
دانلود فایل pdf آموزشی
(You can see links before reply) دانلود نرم افزار
(You can see links before reply) یه فیلم اموزشی پروگرم کردن هم هست البته من خودم ندیدم ولی لینک دانلودشو همینجا میزارم تا دانلود کنید
دانلود فیلم آموزشی (You can see links before reply)
تشکر
لینک فایل آموزش نصب ise اشتباه هست. همون لینک پارت7ه

engineer1
03-07-2013, 13:45
ise چیه modelsim چیه
فرقشون در چی هست؟
ise یه نرم افزار ساخت شرکت xilinixهست کهبرای پروگرام کردن آی سی های ساخت شرکت زایلینیکس هست
ولی modelsim یه نرم افزار سنتز و شبیه سازیه
و برنامه یی رو که شما نوشتی برات شبیه سازی میکنه
به این شکل که شما براش سیگنال ورودی تعیین میکنی و نرم افزار سیگنال خروجیرو بهتون نمایش میده
اگه متوجه نشدید بگو تا بیشتر توضیح بدم:125:

engineer1
03-07-2013, 13:50
تشکر
لینک فایل آموزش نصب ise اشتباه هست. همون لینک پارت7ه
آموزششو کامل همونجا نوشتم
ممنون از تذکرتون

esisafa
03-07-2013, 13:50
ise یه نرم افزار ساخت شرکت xilinixهست کهبرای پروگرام کردن آی سی های ساخت شرکت زایلینیکس هست
ولی modelsim یه نرم افزار سنتز و شبیه سازیه
و برنامه یی رو که شما نوشتی برات شبیه سازی میکنه
به این شکل که شما براش سیگنال ورودی تعیین میکنی و نرم افزار سیگنال خروجیرو بهتون نمایش میده
اگه متوجه نشدید بگو تا بیشتر توضیح بدم:125:
ise بجز پروگرم کردن چه کار دیگه ای میکنه. آخه 6.5 گیگ حجمشه و قطعا فقط پروگرم نمیکنه.
رمز فایل آموزشی پروگرم کردن چیه

engineer1
03-07-2013, 14:20
ise بجز پروگرم کردن چه کار دیگه ای میکنه. آخه 6.5 گیگ حجمشه و قطعا فقط پروگرم نمیکنه.
رمز فایل آموزشی پروگرم کردن چیه
خب همونطور که میدونید(یا شایدم نمیدونید)fpga یه تعداد گیت خامه
و هر شرکت و هرخانواده از یه سری گیت استفاده میکنه مثلا بعضی از and.nor بعضی xor or و هرکدوم یسری گیت خاص دارن برنامه ای که شما مینویسی ممکنه از یسری گیت خاص استفاده کرده باشی و چون نمیدونی آی سی یی که استفاده میکنی چه گیتایی داره شرکت برای راحتی شما تمام خصوصیات ای سی های خودشو تو این برنامه قرار داده تا وقتی شما برنامه رو میخای پروگرام کنی نرم افزار خود بصورت خودکار ای سی رو بشناسه و بدونه چه گیتایی داره
و تازه میتونه تاخیر زمانی گیت ها و سیم کشی بینشونو بدست بیاره و این تو مدارات ترتیبی خیلی مهمه
بیشترشو دیگه باید صبر کنی تا اموزشمون کامل شه و خودت عملی بری سراغش
درضمن اگه فایل اموزشی رمز داره رمزش همون رمز وبلاگ خودمه
You can see links before reply

esisafa
03-07-2013, 16:22
خیلی خوب.
یعنی نتیجه ی شبیه سازی modelsim به ساختار داخلی آیسی و سطح گیت ربطی نداره و صرفا نتیجه ی برنامه ی سطح بالای vhdl یا verilog hdl رو نشون میده. درسته؟؟
برنامه ی اصلی در واقع ise هست. و modelsim فقط شبیه سازی سطح بالا هست بدون درنظر گرفتن اینکه رو چه آیسی ای قرار میگیره. با این حساب، خود ise ادیتور و شبیه ساز vhdl یا verilog نداره؟؟؟
در مورد altra فکر کنم که همه ی این کارا توسط quartus انجام میشه.
در نهایت اگه سخت افزاری در کار نباشه و بخوایم که نتیجه ی یک برنامه رو ببینیم modelsim کافی هست.

engineer1
03-07-2013, 16:30
من خودم با زایلینکس عملی کار نکردم ولی چون بچه ها میخواستن آموزش و نرم افزارشو گذاشتم
بله برا آلترا خود نرم افزار کوارتز عمل شبیه سازیرو هم انجام میده
در این نرم افزار هم احتمالا باید شبیه سازی هم درداخلش انجام بشه
بله اگه شما فقط بخای نتیجه رو ببینی و سخت افزاری درکار نباشه بیازی به ise نداری و میتونی تو یه نرم افزار شبیه سازی مثل modelsim انجام بدی
اما modelsim خیلی واقعی نشون نمیده نتیجرو چون خیلی چیزارو درنظر نمیگیره مثل تاخیر گیتها و سیمبندی داخل ایسی وخیلی ایده ال همه چیرو درنظر میگیره
درضمن خود ise ادیتور زبان برنامه نویسی هم داره

sadeghbakhshi
04-07-2013, 01:40
سعید جان خسته نباشی
من خودم بیشتر با fpga کار کردم وتفاوتاشو با asic میدونم ولی تفاوتایfpga و cpld رو نمیدونم
اگه میشه شما هم یه زحمتی بکش و یه تاپیک برا آموزش کامل cpld راه بنداز ما هم کمک میکنیم

:o:o:o aa)aa)
اخ جون من هم موافقم یکی CPLD اموزش بده و قدم به قدم پیش بریم
تابستون هم هست وقت خالی هم زیاد داریم

esisafa
04-07-2013, 12:45
دوستان نظرتون در مورد تراشه هایی که هم کنترلر arm دارن هم fpga چیه؟؟
این تراشه ها برای کارای ترکیبی استفاده میشن. یک میکرو کنترلر و یک fpga با هم داخل یک تراشه هستن
فکر کنم که قبلا اسمشون fipsoc بود. مطمئن نیستم

at91sam7x256
04-07-2013, 13:02
سلام
دوستان اگه از این شاخ به اون شاخ نپریم خیلی بهتره 5 صفحه تاپیک جلو رفته هنوز درگیر پروگرمر یا نوع ic هستیم
اموزش fpga و برنامه نویسی اولین مرحله برای ورود به این تراشه ها هست . پس حالا که همه دنبالش هستن اموزش رو دوستان مدیریت کنن و بریم جلو . پروگرمر رو هم با خیال راحت بهتون میگم usb blaster شرکت altera یا usb programmer شرکت xilinx . واسه کار حرفه ای این دو تا خوبه 200 تومن هم حدودا هزینش میشه. تمام تراشه ها رو هم پشتیبانی میکنه .
دوست عزیز که استارت این تاپیک رو زدی یا علی مدد .

engineer1
04-07-2013, 15:02
منم همین عقیدرو دارم فکر میکنم همین موضوع fpga رو ادامه بدیم بهتره
درضمن نمیبینم دوستان درمورد پستایی که من میزارم هیچ نظری بدن
من میخام همه شرکت کنن و همچنین میخام نظراتتونو درمورد این آموزشا بگید
مثل اینکه کلا کسی نمیخونه این مطالبو
واقعا اگه کسی نمیخونه خب ادامه ندیم
من ازون استقبال اولیتون فکر کردم واقعا علاقمندید

esisafa
04-07-2013, 15:07
200 هزار تومان زیاده. اونم واسه کاری که معلوم نیست چه زمانی درامد کسب کنی.
دوستان برای پروگرم کردن fpga اطلاعات داخل یک حافظه ی فلش یا رام ریخته میشه. این حافظه هم باید حتما با پروگرمر خود شرکت اطلاعات ریخت؟؟؟؟؟؟؟ اگه با پروگرم حافظه میشه که چه بهتر. یا در هر صورت خود تراشه رو باید پروگرم کرد.
یکی از باتجربه ها یک توضیح کلی در مورد پروگرم کردن cpld و fpga و ... بده

در ضمن خوندن این آموزش ها حداقل 1 ماهی وقت میخواد دیگه. پس نباید عجله کرد. البته دوستانی که میخونن اطلاع بدن

sarab1
04-07-2013, 15:23
سلام من نرم افزار کوارتزii 12.1 رو دارم ولی نمیدونم چرا کار نمیکه اگه میتونی کمک کنی ممنون میشم عزیز:wink:
از کرکش مطمئن هستید؟ از کدوم کرک استفاده میکنید؟

sadmonew
04-07-2013, 15:32
...خب این جوری که من متوجه شدم حافظه ی cpld با قطع برق پاک نمیشه و با یه 5 ولت هم میشه راه اندازیش کرد و طراحی مدارش هم ساده تر از fpga هست چون برای fpga باید یه رام در نظر بگیریم
سلام
بله درست متوجه شدین.
من کار کردم اما نه خیلی حرفه ای. اونم فقط زمانی ازش استفاده کردم که میخواستم اوسکوپ بسازم!
تو وبلاگم میتونی اوسکوپو ببینی.

esisafa
04-07-2013, 22:20
خوندن آموزش ise و modelsim تموم شد. با وجود ise اصلا modelsim لازم نیست. یعنی فقط ise لازمه و تمام قابلیت های modelsim داره.
اگه میشه آخرین quartus با کرک معتبر هم برای دانلود قرار بدین. همچنین آموزش اون

در ضمن verilog هم در حد ابتدایی و برای انجام یک پروژه ساده خوندم.

خوب بالاخره برای پروگرم fpga هم مثل cpld پروگرمر مخصوص تراشه لازمه یا پروگرمر حافظه ی رام؟؟؟؟؟؟

از چه بردی میخوایم استفاده کنیم؟ چه پروگرمری؟ دوستانی که یک نمونه کار عملی انجام دادن از چه وسایلی استفاده کردن؟

dariush_ab
05-07-2013, 01:30
200 هزار تومان زیاده. اونم واسه کاری که معلوم نیست چه زمانی درامد کسب کنی.
آره به نظر من هم نمی ارزه.


منم همین عقیدرو دارم فکر میکنم همین موضوع fpga رو ادامه بدیم بهتره
درضمن نمیبینم دوستان درمورد پستایی که من میزارم هیچ نظری بدن
من میخام همه شرکت کنن و همچنین میخام نظراتتونو درمورد این آموزشا بگید
مثل اینکه کلا کسی نمیخونه این مطالبو
واقعا اگه کسی نمیخونه خب ادامه ندیم
من ازون استقبال اولیتون فکر کردم واقعا علاقمندید

ببخشید.من دوست دارم بیشتر همکاری کنم ولی خوب نمیرسم.
به نظر من سراغ همه چیز رفتن اشتباهه.
یا fpga یا cpld؟
یا altera یا ise؟
یا روی سخت افزار یا صرفا با شبیه سازی؟
به نظرم اول روی این موارد هماهنگ بشید بهتره.نمیشه که هر کی با یک چیز کار کنه.

esisafa
05-07-2013, 01:45
به نظر من سراغ همه چیز رفتن اشتباهه.
یا fpga یا cpld؟
یا altera یا ise؟
یا روی سخت افزار یا صرفا با شبیه سازی؟
به نظرم اول روی این موارد هماهنگ بشید بهتره.نمیشه که هر کی با یک چیز کار کنه.
بحث fpga و cpld بسیار شبیه همه. برنامه و نرم افزار و شبیه سازی همه یکجوره. فقط ساختار خود تراشه ها فرق داره و مربوط به سخت افزار میشه.
در مورد Altra و xilinx هم فرق زیادی ندارن چون برنامه به یک زبان مشترک نوشته میشه و استاندارده و بقیه یاد گرفتن کار با نرم افزارشون هست که اونم شباهت زیادی داره. پروژه درست میکنی، فایل اضافه میکنی، شبیه سازی میکنی، پروگرم میکنی.
فکر نکنم مثل میکرو کنترلرها باشه که mega بشه xmega از این رو به اون رو میشه یا Arm atmel با arm nxp اینقدر متفاوت باشه.
ولی خوبه که همه هماهنگ باشن و ارزونترین و راحتترین راه رو انتخاب کنیم

masarah
09-07-2013, 01:15
از engineer1 تقاضا میشود ادامه بدن.
تا اینجا با فایل های اموزشی که قرار دادین خوبه.
منتظر هستیم:wink:

esisafa
11-07-2013, 17:26
طبق بررسی های کمی که انجام دادم متوجه شدم که هر دو شرکت Altra و xilinx از روش in system programing استفاده میکنن که همون jtag استاندارد خودمون هست و 4 تا پایه ی اصلی tdi ، tdo ، tck و tcs داره که همون پروتکل spi هست. در مورد xilinx بیشتر تحقیق کردم که برنامه ی iMPACK برای پروگرم کردن استفاده میشه و فایل های hexی که روی وسیله پروگرم میشه رو تولید میکنه.
با این تفاسیر کسی یک پروگرمر استاندارد jtag میشناسه. یا نظری در این باره داره

at91sam7x256
11-07-2013, 23:58
جناب engineer1
منتظر ادامه اموزش هستیم .
ممنون

engineer1
13-07-2013, 15:41
سلام خدمت تمام عزیزان
اول که شروع ماه رمضان رو تبریک میگم
دوما یه چندتا شکایت داشتم
من ازین که این آموزشارو قرار میدم انتظار تشکر ندارم ولی انتظار بدوبیرا گفتنم ندارم
بعضی از دوستان بجای تشکر میان تو وبلاگ و هرچی دوس دارن میگن البته شاید ازین جا نبوده
ولی خداییش دیگه خیلی نامردیه

engineer1
13-07-2013, 15:45
اگه دوستان تا الان مطالب رو خوندن و یادگرفتن دیگه وقتشه با یسری نرم افزار های جدید و پیشرفته کار کنیم
مثل hdl works و active hdl

esisafa
13-07-2013, 18:08
من که به اندازه ی کافی خوندم. این نرم افزارها چه قابلیتی دارن که پیشرفته تر هستن

واسه چی بد بگن مگه چکار کردین که ناراحت بشن. ما که جز خوبی چیزی ندیدیم. به دلت نگیر همه جور آدم پیدا میشه.

شما که تجربه دارین میتونید pdfی معرفی کنید که در مورد دستورات پروگرم کردن isp این آیسیها باشه. مثلا اگه با یک میکرو به جای rom بخوایم پروگرم کنیم باید چه دستوری بدیم تا فایل هگز کامپایل شده رو بریزیم رو fpga . امیدوارم منظورم رو بفهمید.

engineer1
14-07-2013, 15:05
من که به اندازه ی کافی خوندم. این نرم افزارها چه قابلیتی دارن که پیشرفته تر هستن

واسه چی بد بگن مگه چکار کردین که ناراحت بشن. ما که جز خوبی چیزی ندیدیم. به دلت نگیر همه جور آدم پیدا میشه.

شما که تجربه دارین میتونید pdfی معرفی کنید که در مورد دستورات پروگرم کردن isp این آیسیها باشه. مثلا اگه با یک میکرو به جای rom بخوایم پروگرم کنیم باید چه دستوری بدیم تا فایل هگز کامپایل شده رو بریزیم رو fpga . امیدوارم منظورم رو بفهمید.
نه راستش منظورتونو که نفهمیدم
این نرم افزارا برا برنامه نوسی مفیدن یعنی برنامه نویسیتونو خیلی راحت میکنن
به این شکل که شما نمای شماتیک مدارمورد نظرتونو تو به نرم افزار میدید و برنامه براتون کد برنامه نویسیشو به هرزبانی که بخاید بهتون میده
حالا تو پستای بعدی بیشتر توضیح میدم

jonbakhsh
14-07-2013, 16:03
ببخشید ولی 6 تا صفحه پر شده ولی ما آموزش درست حسابی ندیدیم ؟؟؟

esisafa
14-07-2013, 16:46
ببخشید ولی 6 تا صفحه پر شده ولی ما آموزش درست حسابی ندیدیم ؟؟؟
مگه دیگه چی میخواین. این همه pdf یک جا جمع شده. لینک نرم افزار هم هست. همین خودش کلی ارزش داره.

منظورم اینه که با پروگرمر fpga آیسی رو پروگرم نکنیم. بلکه با یک میکروکنترلر فایل هگز کامپایل شده رو به آیسی fpga بدیم. یک همچین pdf آموزشی هست؟؟؟؟ یعنی با روش in sys programming که ساپورت میکنه کار کنیم

jonbakhsh
14-07-2013, 19:42
ببینید منظور من برنامه نویسی هستش .
این فابل های pdf همه جا هستند . من همشو داشتم. منظور من یه چیز جدیده . مثلا برنامه نویسی vhdl یکی بیاد
با مثال توضیح بده . من قبلا کار میکردم ولی از بس مرجع کم و ناقص داره دورش خط کشیدم

jonbakhsh
14-07-2013, 19:42
ببینید منظور من برنامه نویسی هستش .
این فابل های pdf همه جا هستند . من همشو داشتم. منظور من یه چیز جدیده . مثلا برنامه نویسی vhdl یکی بیاد
با مثال توضیح بده . من قبلا کار میکردم ولی از بس مرجع کم و ناقص داره دورش خط کشیدم

esisafa
14-07-2013, 21:21
خوب بیاین چند موضوع ساده معرفی کنیم و شروع کنیم به برنامه نویسی اونا.
میخواین مثل میکرو اولین مثال همون چشمک زن خودمون باشه.
برای این کار باید از یک تایمر استفاده کنیم. چیزی که با هر پالس یکی اضافه بشه و بعد از رسیدن به مقدار مورد نظر پایه ی مورد نظر رو تغییر بده. همین
مثل میکرو نیست که با رجیسترها سر و کار داشته. فقط باید زبان برنامه نویسی بلد باشین و استفاده از ماژولها

jonbakhsh
14-07-2013, 23:10
از یک برد آموزشی شروع میکنیم که من تا فردا شب یک برد cpld کامل توی سایت میزارم با پروگرامر
adc
i2c
kpd
dipsw
microsw
lcd
7segment
estep motor
dc motor
پروگرامر ........ کامل
فیبر 1 لایه که همه ازش استفاده کنند

jonbakhsh
16-07-2013, 02:28
بفرما اینم برد آموزشی
You can see links before reply(10).jpg

You can see links before reply

تا فردا شب برد پروگرامر اونم میزارم .

test00
16-07-2013, 20:36
با سلام
دوست عزیز این فایل پسورد داره ..
؟؟

jonbakhsh
16-07-2013, 21:16
شرمنده این فایلو توی سایتم که گزاشته بودم پسورد براش گزاشتم
توی ال سی دی اون عکسه پسوردش هست

engineer1
17-07-2013, 18:53
سلام
بعضی از عزیزان گفته بودن که برنامه نویسی رو با یه مثال آموزش بدیم
اولا تو همون pdf آموزشیش مثال زیاد داره و درضمن اگه صبر کنید که آموزش نرم افزار hdl works و active hdl رو شروع کنیم متوجه میشید که برنامه نویسی اونقدا هم مهمو حیاتی نیست
این به اون معنا نیست که دیگه برنامه نویسی یادنگیریم ولی خب خیلی نمیخاد روش زوم داشته باشید
بازم اگه لازم میدونید میتونم با مثال براتون توضیح بدم

hossein1387
18-07-2013, 08:06
سلام به دوستان

میخواین با کدوم زبون شروع کنین؟؟
اگر کسی توی vhdl, verilog,systemC اشکال داشته باشه در حد توانم میتونم بهش کمک کنم.

at91sam7x256
18-07-2013, 14:23
سلام به دوستان

میخواین با کدوم زبون شروع کنین؟؟
اگر کسی توی vhdl, verilog,systemc اشکال داشته باشه در حد توانم میتونم بهش کمک کنم.

مهندس جان vhdl .

jonbakhsh
18-07-2013, 16:25
کسی آموزش systemc نداره . یه چند تائی مثال ساده .تعریف پروسس توی اون به چه شکله . تعریف کردن متغیر ها ....

hossein1387
19-07-2013, 07:10
مهندس جان vhdl .

بسیار هم عالی.

اگر سوالی بود درخدمتم.

hossein1387
19-07-2013, 07:32
کسی آموزش systemc نداره . یه چند تائی مثال ساده .تعریف پروسس توی اون به چه شکله . تعریف کردن متغیر ها ....

سلام مهندس

من آموزش فارسی ندیدم یعنی دنبالش نبود، شاید باشه. بهترین سایت هایی که من میشناسم در این زمینه:

1- WELCOME TO WORLD OF ASIC (You can see links before reply)
2- Free SystemC Training Course from Forte Design Systems (You can see links before reply)
3- Home - Accellera Systems Initiative (You can see links before reply)

که در سایت سومی یک PDF آموزشی داره که میتونی دانلود کنی که به نظر من نسیت به دوتای اولی سخت تر مفاهیم رو گفته.
سایت های اول دوم واقعا عالی هستند. مخصوصا اولی که VHDL و Verilog رو هم خیلی عالی درس داده.
من خودم تا حالا هیچ وقت کد SystemC رو سنتز نکردم و فقط در حد تؤری باهاش کار کردم. در کل این زبان بسیار انعطاف پذیر هست. این زبان حاصل یک پروژه Open Source هست و شرکت های بزرگی مثل Cadence از اون حمایت میکنن. برای یادگیریش باید کاملا با C++ آشنایی داشته باشی. همچنین بهتره با Threads and Interprocess Communication آشنایی داشته باشی. اوایل کار با SystemC یکم سخت به نظر میرسه حتی اگر با VHDL و Verilog آشنایی نسبتا خوبی داشته باشین. در اینجا دیدتون یکم نرم افزاری هم میشه! در اصل این زبان از تعداد خیلی زیادی کتابخانه C++ تشکیل شده.
SystemC قابلیت پشتیبانی از متغییر های C++ رو( مثل int,char,...) داره. علاوه بر اون همانند زبان های توصیف سخت افزاری دیگه مثل VHDL و Verilog رو هم داره. مثال:

int i;...............................int داده از نوع
sc_in_clk clock;.................clock سیگنال ورودی از نوع
sc_in<bool> reset ;................(فقط صفر و یک)booleanداده ورودی از توع
sc_lv<16> addr_bus ;................رو یه خودش بگیره z و xکه میتونه مقادیر صفر و یک و logic vector یک متغییر از نوع


این تمام data type ها نیست. علاوه بران شما میتونی داده ها رو از هر نوعی که خودتون بخواین هم میتونین تعریف کنین( دقیقا مثل C++) مثلا کلاس زیر رو در نظر بگیرید:


class os_task{
friend class os;
public:
os_task();// Constructor
~os_task();// De constructor

private:
string task_name;
enum state task_state;
unsigned int task_id;
unsigned int task_priority;
}; // end class os_task


حالا میتونیم در یک قسمت از پروژه بنویسیم:

os_task *task1;

برای آشنایی اولیه میتونین مثال Producer و Consumer سایت اولی رو که بهتون معرفی کردم رو بخونین. اگه پیدا نکردین بگین من پروژه رو براتون پیوست کنم.

esisafa
19-07-2013, 15:02
نحوه ی عملکرد برنامه چطور هست. ترتیبی یا همزمان مثل وریلوگ
با این تفاسیر میشه یک برنامه مثل کامپیوتر بنویسی و بدون داشتن پردازنده اون برنامه رو اجرا کنی دیگه؟؟؟؟؟
در کل اگه اطلاع دارید در مورد نحوه ی عملکرد برنامه توضیح بدین. لطفا

jonbakhsh
19-07-2013, 15:50
توی vhdl میشد هم ترتیبی بنویسیم و هم همزمان . اگه توی پروسس مینوشتیم ترتیبی میشد و بیرون از پروسس همزمان.حتما توی systemc هم میشه

hossein1387
20-07-2013, 03:31
نحوه ی عملکرد برنامه چطور هست. ترتیبی یا همزمان مثل وریلوگ
با این تفاسیر میشه یک برنامه مثل کامپیوتر بنویسی و بدون داشتن پردازنده اون برنامه رو اجرا کنی دیگه؟؟؟؟؟
در کل اگه اطلاع دارید در مورد نحوه ی عملکرد برنامه توضیح بدین. لطفا


شما میتونین هم برنامه تون رو ترتیبی بنویسین و هم همزمان.
دقیقا! شما میتونی دقیقا یک کد C++ رو با اضافه کردن هدر های مربوطه در این زبون کامپایل کنی. ولی همیکنه بخوای یکم کار رو به سمت سخت افزار نزدیک کنی، دیگه باید از کتابخونه SystemC استفاده کنی. در ضمن شما میتونین با استفاده از VisualStudio و یا هر کامپایلر کد systemC تون رو ران کنید.
در SystemC ابزار های بسیار گوناگونی برای نوشتن کد به صورت همزمان دارین. مثلا در Verilog هر طرح شامل چند ماژول میشه و هر ماژول میتونه شامل چندین بلوک Always بشه که هر کدوم لیست حساسیت خودشون رو دارن. در VHDL هم هر طرح میتونه شامل چندین architecture بشه که هر کدوم شامل چندین بلوک Process با لیست حساسیت های متفاوت هسنتد.
در این زبون ها خیلی از دستورات خاصیت همزمانی رو دارن مثل دستور Assign در Verilog و....
ولی در این زبون ها دیگه صحبتی از Thread ها نیست. یعنی اصلا معادل سخت افزاری براشون نداریم. یا مثلا semaphore ها و یا mutex ها به همین ترتیب. این قابلیت ها در systemC به این دلیل اضافه شدن که بتونه در سطح modelling خوب عمل کنه. علاوه بر اون قابلیت ها زبون های توصیف سخت افزاری رو هم داشته باشه.
مثال:
فرض کنید میخوایم یک ماژول داشته باشیم به اسم cpu که شامل چند تا thread باشه. 3 تا فایل باید ایجاد کنیم:
1- main.cpp
2- CPU.cpp
3-CPU.h

حالا به صورت زیر کد ها رو مینویسم اول از همه main.cpp:

#include "CPU.h"
int sc_main(int argc, char *argv[])
{
// create instances
CPU cpu("CPU_instance");

sc_core::sc_start(100, sc_core::SC_MS); --> زمان شبیه سازی

}



حالا فایل CPU.h:


#include "systemc.h"
#define FIFO_SIZE 16
SC_MODULE(CPU)
{
public:
SC_CTOR(CPU): FIFO1(FIFO_SIZE)
{
SC_THREAD(THREAD1);
SC_THREAD(THREAD2);
}

void THREAD1();
void THREAD2();

private:
//Instantiate the FIFO channels
sc_fifo<int data> FIFO1;
};


کد بالا در ماژول CPU دو Thread به نام های thread1 و thread2 ایجاد میکنه. و بین این دو thread یک fifo ایجاد میکنه. این fifo فقط میتونه دیتا هایی به طول 32 بیت رو جا به جا کنه( چون نوشتیم int data) . کلا هرچی با sc_ شروع میشه مربوط به syntax خود systemC هست.

حالا فایل CPU.cpp:

#include "systemc.h"
#include "CPU.h"

void CPU::THREAD1()
{
int i;
for (i=0; i<64; i++)
{
wait(10);
FIFO1.write(i);
}
}

void CPU::THREAD2()
{
int i;
for (i=0; i<64; i++)
{
FIFO1.read(i);
wait(10);
}
}


در این مثال THREAD1 از طریق FIFO1 به THREAD2 دیتا میفرسته. در ابتدا هر 2 thread با هم اجرا میشوند، اجرا به این معنی که زمان شبیه سازی برای فراخوانی هر کدوم از 0 در نظر گرفته میشود. در thread اولی به یک تاخیر میرسیم ( در اینجا syntax مربوط به تاخیر رو عمدا اشتباه نوشتم!) و در thread دومی به دستور خواندن از fifo میرسیم. چون thread اول هنوز هیچی داخل fifo نگذاشته thread دومی در اینجا منتظر میمونه.(به این میگن blocking read) بعد از گذشت 10 واحد زمانی، thread اول یک دیتا داخل fifo میریزه و به همین ترتیب ادامه پیدا میکنه. Thread ها فقط یکبار اجرا میشوند.
همونطور که گفتم اگر مثال producer و consumer رو بخونین یکم دستتون میاد که چی به چی هست!!

mansoory
20-07-2013, 10:02
آقای عسگری متشکرم
من Verilog کار میکنم .دیدم نسبت به SystemC عوض شد. امیدوارم آموزش ادامه داشته باشه.
بازم ازشما ممنونم

hossein1387
20-07-2013, 12:04
آقای عسگری متشکرم
من Verilog کار میکنم .دیدم نسبت به SystemC عوض شد. امیدوارم آموزش ادامه داشته باشه.
بازم ازشما ممنونم

سلام مهندس خواهش میکنم.

والا من قصدم این نیست که هدف اصلی این تاپیک که آقای طبسی زحمتش رو کشیده منحرف کنم. یکی از دوستان پرسیدن که در systemC چه جوری میشه کد نوشت من یک مثال براشون آماده کردم. به نظر من اگه این تاپیک بخواد موفق بشه با همون VHDL که بچه ها شروع کردن ادامه بدیم بهتره. مشکلی که systemC داره اینه که synthesizer کدش ( به صورت کرک شده) گیر نمیاد. من خیلی پیگیر این ماجرا نبودم و خوشحال میشم کسی ثابت کنه اشتباه میکنم. ولی اگر خواستین یک تاپیک جدا گونه باز کنیم و اشکال های این زبون ها رو(vhdl,verilog,systemC) از هم بپرسیم.

sadeghbakhshi
20-07-2013, 19:07
با عرض سلام و خسته نباشید
به همه ی دوستان
خیلی ببخشید که این حرف رو میزنم قصد بی احترامی و نادیده گرفتن زحمات دیگران رو ندارم
ولی این طرز اموزش به هیچ دردی نمیخوره
نمیشه همین طوری شروع به اموزش کرد و رفت جلو و بگیم خدا بزرگه
اول باید ببینیم کجا هستیم و می خواهیم به کجا برسیم
شما به سایتهایی مثل سایت اشیانه یه سر بزنید از ویروس نویسی و هک فیس بوک گرفته تا سوکت نویسی و کار با پورتها مطلب هست
ولی اصولی کار شده این طوری نمیشه که یکی بگه اموزش fpga و بسم الله
شما حتی نگفتید که میخواهید چه زبانی اموزش بدید قرار هست شئی گرا باشه
قرار هست سی اموزش بدید وری لوگ هست یا هر چیز دیگه ای
اگر قرار باشه همین طوری ادامه بدید این تاپیک به هیچ جایی نمیرسه
در مورد اون دوستانی که دنبال زبان vhdl هستند بهترین کتاب نوشته ی اقای نوابی هست که انگلیسی نوشته شده و ترجمه اش هم جدیدا به چاپ رسیده
اگر اشتباه نکنم ایشون کتاب وریلوگ هم به انگلیسی نوشته پس الکی وقت تلف نکنید

hossein1387
21-07-2013, 09:32
با عرض سلام و خسته نباشید
به همه ی دوستان
خیلی ببخشید که این حرف رو میزنم قصد بی احترامی و نادیده گرفتن زحمات دیگران رو ندارم
ولی این طرز اموزش به هیچ دردی نمیخوره
نمیشه همین طوری شروع به اموزش کرد و رفت جلو و بگیم خدا بزرگه
اول باید ببینیم کجا هستیم و می خواهیم به کجا برسیم
شما به سایتهایی مثل سایت اشیانه یه سر بزنید از ویروس نویسی و هک فیس بوک گرفته تا سوکت نویسی و کار با پورتها مطلب هست
ولی اصولی کار شده این طوری نمیشه که یکی بگه اموزش fpga و بسم الله
شما حتی نگفتید که میخواهید چه زبانی اموزش بدید قرار هست شئی گرا باشه
قرار هست سی اموزش بدید وری لوگ هست یا هر چیز دیگه ای
اگر قرار باشه همین طوری ادامه بدید این تاپیک به هیچ جایی نمیرسه
در مورد اون دوستانی که دنبال زبان vhdl هستند بهترین کتاب نوشته ی اقای نوابی هست که انگلیسی نوشته شده و ترجمه اش هم جدیدا به چاپ رسیده
اگر اشتباه نکنم ایشون کتاب وریلوگ هم به انگلیسی نوشته پس الکی وقت تلف نکنید


من هم موافق هستم.
البته نمیدونم منظورتون من بودم یا نه ولی همونطور که گفتم من اصلا نمیخواستم systemC آموزش بدم. به نظر من اصلا کار جالبی نیست که با systemC شروع کنیم. اون 2 تا کدی که من بالا نوشتم هیچ کدومشون قابل سنتز نیستند!
در مورد آموزش VHDL و Verilog هم به نظر من هم کتاب دکتر نوابی هم برای VHDL و هم برای Verilog از هر نظر کامل هست. من خودم با همین دو تا کتاب شروع کردم. کتاب Verilog ایشون توی نت پیدا میشه ولی کتاب VHDL دکتر نوابی توی نت نیست.

engineer1
05-08-2013, 19:57
سلام
اول که معذرت واسه این تاخیر پیش اومده
راستش داشتم یکم رو تابلو روان کار میکردم و تو سایت یکی از دوستان هم داشتم درمورد میکرو مطلب میزاشتم واسه همین خیلی سرم شلوغ بود
بعدم که اومدم دیدیم دوستان خیلی فعالیت کردن گفتم سکوت اختیار کنم بهتره
من خودم راستش زبان وریلوگ کار کردم و دوس داشتم برا اموزشم همونو پیش بریم ولی دوستان پیشنهاداشون زیاده
بازم هرجور دوستان صلاح میدونن
درضمن قرار بود اموزش یسرینرم افزارای هوشمندو قرار بدم که خودشون برنامرو بهتون اماده میدن
ولی گفتم بهتره اول زبانو کامل اموزش بدیم بعد بریم سراغ اون نرم افزارا
قبلا یه حی دی اف آموزش وریلوگ و وی اچ دی ال رو واسه دانلود گذاشته بودم
از پست بعدی اموزش رو کامل شروع میکنم
التماس دعا تو این ماه عزیز

Doloop
05-08-2013, 21:00
من پیشنهاد میکنم دوستانی که تو این تایپیک مایل به کار کردن با FPGA هستند همت کنند و یه بورد طراحی کنند و هزینه رو از همه بگیرند و بورد رو بدهند برای چاپ :wink:

تا این آموزش واقعا نتیجه بهتری داشته باشه

انجمن STM32 رو اگه خاطرتون باشه قبلا برای شروع کار با میکروهای STM یه همچین کاری کردند و به نظرم بار آموزشی اون کار بیشتر از فقط تئوری کار کردن بود ... گر چه تایپیک زود خوابید cry::

اینطوری هم توی هزینه چاپ بورد به نفع جمع میشه هم آموزشتون سریع تر و کاربردی تر میشه :wink:

در ضمن من گرچه توی مباحث شرکت ندارم ولی مطالب رو پیگیری میکنم
اینم فقط بر حسب تجربه یه پیشنهاد بود ... :hi:تصمیم با دوستان هست

موفق باشید

jonbakhsh
05-08-2013, 21:10
You can see links before reply

sigma-mx
05-08-2013, 23:20
سلام
من با نظر Doloop کاملا موافقم . تو بخش stm32 هم یک همت و انرژی بالا برای شروع بود و پیشرفت نسبتا خوبی صورت گرفت . چون سخت افزارها یکی بود و اشتیاق برای یادگیری . خب فقط تعداد علاقه مندان کم کم رو به کاهش داشت متاسفانه ...!
من هم پیگیر این تاپیک هستم و یکی از نیازهای ضروری رو یادگیری و کار عملی و طراحی با fpga میبینم ولی تا حالا یسری مشکلات باعث شده هر سری این کارها رو به عقب بندازم . بنظر من الان بحث روی اینکه رو کدوم سری کار کنیم خیلی مهمتره از اینکه رو کدوم زبان کار کنیم !! زبان هیچ مشکلی ایجاد نمیکنه ، خب یکی میاد vhdl کار میکنه و یکی verilog چه فرقی داره ؟! ما تاپیک رو برای برنامه نویسی نزدیم که ، هدف راه اندازی و طراحی ( استفاده ) از fpga هست . در ثانی ابتدا باید یه سخت افزاری وجود داشته باشه تا روش برنامه بنویسیم ! پس بیاید اول رو نوع برد ، نوع چیپ و اینا بحث کنیم تا این تاپیک 100 صفحه نشه ولی توش آموزش نباشه !

این ایده به تعداد برد زدن هم خیلی خوبه ولی به شرطی که مثل اون دفعه تو stm32 نشه !! حالا هیچی نشده چند گروه دعوا داشتن با هم که رو برد چیپ mp3 پلیر بزاریم یا رادیو یا گیرنده IR یا .. یسری هم گفتن اگه اترنت نباشه اصلا بدرد نمی خوره ! آخرش به اونجا هم اصلا نرسید !! منم مجبور شدم برای خودم یه برد طراحی کنم .
زیاد فرقی نمی کنه برد آماده از نت پیدا کنیم یا طراحی کنیم . یه چیز مناسب باشه و امکانات ساده .. و البته یه چیپ که ظرفیت قابل قبولی برای طراحی داشته باشه .

دوستان یه همت کنند هرچی پیدا کردن لینک بدن اینجا تا جمع بندی بشه...

در مورد خود چیپ هم که گفتم خیلی مهمه ، من خودم تا حالا فقط با Altera کار کردم . ولی به نظرم اگه رو Xilinx کار کنیم بهتره فکر میکنم تنوع محصول این بهتره . نکته بعدی اینه که اصلا قراره رو fpga کار بشه یا cpld ؟؟؟؟ خب cpld که خیلی راحته و مثل میکرو میمونه و فک کنم جواب کارهای ما رو بده و خوبیش اینه که از لحاظ برنامه و طراحی فرقی چندانی با fpga نداره و برای Evaluation خوبه . حالا دوستان هم باید نظر بدن ...

قیمت چیپ رو هم مد نظر بگیرید ! در مورد کمیاب بودن و موجود بودن تو بازار یه تجربه ای تو stm32 که داشتیم این بود که ، اون موقع فقط یکی دو نمونه جوان الکترونیک داشت ولی چون آموزشها تو فرومها زیاد شد ( استارت آموزش stm32 تو ایران میکرو زده شد ) و تقاضا زیاد شد الان اکثر فروشگاهها حتی اینترنتی هم سری stm32 رو حداقل یکی دو نمونه دارند .


یا حق

mzarkoob
05-08-2013, 23:52
سلام
در مورد طراحی سخت افزار کاملا موافقم و در این مورد هم در سایت همسایه هم که بیشتر روی fpga بحث شده یه بار گفتم که اصل مشکل سخت افزار هست. اگر قیمت بردهای fpga را ببینید در فروشگاههای اینترنتی می بینید که واقعا زیاده و تا سخت افزار نباشه قرار همه روی چی آموزش بدهند!
در مورد اینکه cpld باشه یا fpga خوب قطعا برای شروع cpld خیلی راحت تره ولی بعد محدودیت های آن را احساس می کنیم و می بینیم برنامه که یه کم زیاد شد دیگه جا نمی شه ! حالا برنامه زیاد شد منظور اینکه یه برنامه که مثلا اطلاعات را از سریال بگیره ال سی دی هم داشته باشه و یکی دو تا کار دیگه هم بکنه. پس یه برد زده بشه برای یه fpga بهتر است.
من یه برد از ایستا گرفتم که هنوز باهاش کار نکردم و نمی دونم چطوریه!
You can see links before reply
حالا هر وقت برد زده شد در مورد زبان دعوا خواهیم کرد !

sigma-mx
06-08-2013, 00:41
خب بله اگه بشه با قیمت قابل قبول از fpga استفاده کرد خیلی بهتره . مخصوصا همین سری spartan .
برای برد evaluation نباید محدودیت داشته باشیم .
شما مهندس یکم راجب این برد توضیح میدید . چیپش دقیقا چه شماره ایه ، ظرفیت و ... و مخصوصا قیمت . یه ایده خوب به ذهنم رسید اونم اینه که شاید بشه یه نمونه از این بردها رو تو بردهای چینی پیدا کرد و مهندس زارعی وارد کنند . فکر کنم ارزونتر در بیاد !!!

mzarkoob
06-08-2013, 00:47
شما مهندس یکم راجب این برد توضیح میدید . چیپش دقیقا چه شماره ایه ، ظرفیت و ... و مخصوصا قیمت . یه ایده خوب به ذهنم رسید اونم اینه که شاید بشه یه نمونه از این بردها رو تو بردهای چینی پیدا کرد و مهندس زارعی وارد کنند . فکر کنم ارزونتر در بیاد !!!
چیزایی که سایتش زده:

یک برد fpga مبتنی بر خانواده spartan iii می باشد که از چیپ xc3s250 بهره می برد.
در این پلاتفرم یک prom نیز قرار دارد.
تمامی مراحل پروگرام کردن fpga و prom از طریق usb صورت می گیرد.
قیمتشم انگار 6 ماه پیش بود 70 تومن.
ولی انگار promش کار نمی کنه!

sigma-mx
06-08-2013, 01:04
مهندس منظورت xc3s250e هست ؟

mzarkoob
06-08-2013, 01:08
نمی دونم . در سایتش این زده و بردش الان پیشم نیست نگاه کنم e داره یا نه!
چه فرقی می کنه!؟

sigma-mx
06-08-2013, 01:14
یه selection guide داشتم ، نگاه کردم دیدم سری spartan3 اصلا 250 نداره . سری spartan3E هست که یه پله بالاتره حالا قابلیتهای اضافشو نمیدونم ...

نمیدونم این مشکل رو شما هم داری یا نه . من یکی از بزرگترین مشکلاتم با fpga اینه که درک درستی از ظرفیت کد نمیتونم براش داشته باشم چون اینجا به کیلو بایت نیست ! نمیدونم مثلا یه EPM7032 دارم این توش چقدر میشه برنامه نوشت ، مثلا فقط یه برنامه السیدی کارکتری توش جا میشه یا میشه برای راه اندازی السیدی رنگی هم براش برنامه نوشت !!

hossein1387
06-08-2013, 08:30
سلام به همه دوستان

من چند وقت پیش در مورد این برد توضیح داده بودم. با توجه به شباهت این برد با پروژه Papilio تقریبا مطمن هستم که برد فروشگاه ایستا کیت از همین پروژه Papilio گرفته شده. میتونین دز سایت زیر جزییات این پروژه همراه با شماتیک برد رو ببینید:
Papilio FPGA Platform (You can see links before reply)
من با شرکت ایستاکیت که در تماس بودم میگفتن که این برد کاملا با ISE سازگار هست ولی من خودم هیچ وقت امتحان نکردم. همچنین با مبد USB به سریالی که روی برد هست میتونین FPGA رو برنامه ریزی کنین. توی سایت بالا شماتیک برد رو گذاشته و تا جایی که یادم هست برد 2 رو هست و از اونجا که با آیسی که روی برد استفاده شده BGA نیست خیلی راحت قابل مونتاژ خواهد بود.

اگر بخواین از چین بردی رو وارد کنیم من چند تا نمونه میشناسم که به نظر خوب میان:
1- Xilinx Fpga Development Spartan 3E XC3S500E PQG208 Board 4 3" TFT LCD 4 Nios | eBay (You can see links before reply)

2- Xilinx Spartan 3E XC3S500E 4PQ208C Fpga Development Board | eBay (You can see links before reply)

3- Xilinx Spartan 3E XC3S500E Fpga Development Board With LCD1602 Download Cable | eBay (You can see links before reply)

4- SPARTAN6 Xilinx XC6SLX9 TQG144 Fpga Core Development Board | eBay (You can see links before reply)

5- Fpga Core Board XC6SLX9 With Sdram Xilinx Sparten 6 | eBay (You can see links before reply)

6- Xilinx Fpga Board 4 3" TFT LCD 4 Nios Jtag Uart ADC DAC 1602 12864 VGA RS232 SPI | eBay (You can see links before reply)

قیمت این برد ها هم بین 140 تا 160 دلار هست. مشکلاتی که دارن این هست که همشون نیاز به Xilinx Cable دارن بنابراین باید حدودا 50-60 دلار هم پول بابت پروگرامر بدین.

من خودم از برد های digilent استفاده میکنم. همونطور که میدونید یکی از اصلی ترین distributor های برد های Xilinx شرکت digilent هست. در بسیاری از کارهای تحقیقاتی به این برد ها رجوع داده میشه و این بردها دارای کیفیت خیلی بالایی هستند. من خودم از برد: Nexy2 استفاده میکنم که در آدرس زیر میتونین برد رو ببینید:
Digilent Inc. - Digital Design Engineer's Source (You can see links before reply)

خوبی برد این هست که نیاز به پروگرامر و کابل Xilinx ندارید و خود برد قابلیت پروگرام شدن برد رو داره. این برد از Fpga های سری Spartan 3 استفاده میکنه و قیمت برد هم بدون مالیات و هزینه پست 149$ هست. بنابراین به نظرم اگر بخواین برد از چین با اون قیمت ها وارد کنین کاملا به صرفه هست که بردهای Digilent رو وارد کنین.
تا جایی که من خبر دارم تا حدود 1-2 ماه دیگه بردهای Nexy4 توسط digilent عرضه میشن و قیمتش هم حدود 120 دلار هست. تا جایی که من میدونم توی این برد از Spartan 6 استفاده شده. تمامی برد ها 6 لایه طراحی شدن و از کیفیت خیلی خوبی برخوردار هستند.

hossein1387
06-08-2013, 08:35
یه selection guide داشتم ، نگاه کردم دیدم سری spartan3 اصلا 250 نداره . سری spartan3e هست که یه پله بالاتره حالا قابلیتهای اضافشو نمیدونم ...

نمیدونم این مشکل رو شما هم داری یا نه . من یکی از بزرگترین مشکلاتم با fpga اینه که درک درستی از ظرفیت کد نمیتونم براش داشته باشم چون اینجا به کیلو بایت نیست ! نمیدونم مثلا یه epm7032 دارم این توش چقدر میشه برنامه نوشت ، مثلا فقط یه برنامه السیدی کارکتری توش جا میشه یا میشه برای راه اندازی السیدی رنگی هم براش برنامه نوشت !!

مهندس من این سوال رو از شرکت ایستاکیت پرسیدم. توی ise که من دارم (ورژن 13) اصلا سری 250 نبود ولی خودشون به من گفتن که این مشکل قابل حل هست حالا چه جوری من نمیدونم!

mzarkoob
06-08-2013, 13:00
یه selection guide داشتم ، نگاه کردم دیدم سری spartan3 اصلا 250 نداره . سری spartan3E هست که یه پله بالاتره حالا قابلیتهای اضافشو نمیدونم ...

سلام
من در ورژن 9.1 که دارم، نگاه کردم برای spartan سه مدل بود:
You can see links before reply
چیزی هم که روی آی سی نوشته xc3s250E هست که در مدلش در این ورژن موجوده. در ورژن 13 نیست !؟

hossein1387
06-08-2013, 13:07
حقیقتش من سال پیش دنبال این بودم که از این برد چند سری بخرم و توی ise که من داشتم (ورژن 13) این آیسی رو پیدا نکردم. نمیگم نمیشه ولی من بلد نیستم چه جوری میشه این مدل رو به ise شناسوند!

sigma-mx
06-08-2013, 15:13
میشه بگید که منظورتون از 250 چی هست؟
منظورم مدل چیپ هست : XC3S250E
که همونطوریکه مهندس زرکوب نشون دادن این 250 فقط تو سری E وجود داره . پس چیپ حتما سری SPARTAN-3E هست ..

جناب hossein1387 (You can see links before reply)
برد هایی که لینک دادید خیلی گرونه ! ما هدفمون ارزونترین چیز ممکن هست . اصلا هدف اصلی دسترسی به پین هاست نه اینکه کلی امکانات سرش داشته باشه . خب شما حساب کن 150 دلار نزدیک 500 میشه قیمتش !!!! برای استارت خیلی گرونه . بعد گفتم چیپش قوی باشه و ظرفیتش هم خیلی کم نباشه ولی دیگه SPARTAN-6 خیلی زیاد نیست ! آخرین تکنولوژی برای شروع !! اگه یه برد زیر 100 باشه خیلی خوبه . منظور من از بردهای چینی از این Breakout Board های fpga بود که فقط پایه و این جور چیزا رو بیرون دادن . و البته برای پروگرامش هم باید فکری کرد . البته من این برد آقای زرکوب رو یه بررسی کردم فکر کنم اگه همین 70 باشه خیلی خوبه یا اینکه اگه ارزونتر در میاد ما شماتیک همین برد رو که داریم یسری ازش میزنیم ...

jonbakhsh
06-08-2013, 15:45
برد زیر 100 fpga گیر نمیاد . قبلا من fpga از 40 میخریدم به بالا حالا توی سایت پایا الکترونیک از 120 داره به بالا
cpla xc9572 که قبلا 4500 میخریدم حالا شده 32000 . بهتره با نرم افزار TINA کار کنید و کد VHDL شبیه سازی کنید دقیقا مثل پروتئوسه .

mzarkoob
06-08-2013, 20:50
نمیدونم این مشکل رو شما هم داری یا نه . من یکی از بزرگترین مشکلاتم با fpga اینه که درک درستی از ظرفیت کد نمیتونم براش داشته باشم چون اینجا به کیلو بایت نیست ! نمیدونم مثلا یه EPM7032 دارم این توش چقدر میشه برنامه نوشت ، مثلا فقط یه برنامه السیدی کارکتری توش جا میشه یا میشه برای راه اندازی السیدی رنگی هم براش برنامه نوشت !!
چیزی که در این باره می دونم اینه که وقتی در برنامه implement Design را می زنیم در fit تطابق با آی سی انجام میشه و اگر جا نشه خطا میزنه اگر جا بشه که ok میده:
You can see links before reply

sigma-mx
06-08-2013, 22:51
ممنون مهندس ، میشه الان بگید مثلا این جدول که کامپایلر نشون داده ، برای چه برنامه ای بوده و چه چیپی که تقریبا یک سوم منابع ر استفاده کرده ؟

mzarkoob
06-08-2013, 23:03
این فکر کنم یه برنامه ساده چشمک زن بوده و یه cpld به شماره XC9572-PC84
حالا اگه یه برنامه سریال بذاریم کامل پر میشه و یه کم زیادش کنیم دیگه این fit خطا میده و قرمز میشه

jonbakhsh
06-08-2013, 23:16
خوب چرا با tina کار نمیکنید . من همه کد هامو توی اون تست میکنم بدن مشکل . تست lcd پورت سریال .....
با ise یا qourteus برنامتونو بنویسید توی نرم افزار tina تستش کنید . کاری هم نداره که برنامتون چقدره .
برنامتونو توی اون وارد کنید اون خودش برنامه به صورت بلوک میکنه و شما توی محیط شبیه سازی تست کنید

mzarkoob
06-08-2013, 23:30
این نرم افزار tina از کجا میشه دانلود کرد من برخوردی باهاش نداشتم
البته از بحث برد و طراحی اون هم فاصله گرفته شد با این موضوع ... !

sigma-mx
07-08-2013, 00:11
هدف کار فیزیکی و سخت افزاری و مخصوصا طراحی با fpga هست . بله با tina هم خودم تست کردم میشه ولی اون موقع دیگه نمیشه گفت داریم رو fpga یا cpld کار میکنیم بلکه باید بگیم داریم رو verilog یا vhdl کار میکنیم !! بعدش تعداد عناصر و قطعات tina هم محدوده دیگه ، همه چیزایی که ما لازم داریم رو نداره که ! ما که قرار نیست فقط یه led روشن و خاموش کنیم . بهرحال بقیه رو نمیدونم ولی هدف من که نه کار دانشجویی و نه پژوهشی و نه تحقیقاتیه بلکه در صورت نیاز در پروژه هام میخوام استفاده کنم با اهداف صنعتی ....

hossein1387
07-08-2013, 00:31
برد زیر 100 fpga گیر نمیاد . قبلا من fpga از 40 میخریدم به بالا حالا توی سایت پایا الکترونیک از 120 داره به بالا
cpla xc9572 که قبلا 4500 میخریدم حالا شده 32000 . بهتره با نرم افزار TINA کار کنید و کد VHDL شبیه سازی کنید دقیقا مثل پروتئوسه .


منظورم مدل چیپ هست : XC3S250E
که همونطوریکه مهندس زرکوب نشون دادن این 250 فقط تو سری E وجود داره . پس چیپ حتما سری SPARTAN-3E هست ..

جناب hossein1387 (You can see links before reply)
برد هایی که لینک دادید خیلی گرونه ! ما هدفمون ارزونترین چیز ممکن هست . اصلا هدف اصلی دسترسی به پین هاست نه اینکه کلی امکانات سرش داشته باشه . خب شما حساب کن 150 دلار نزدیک 500 میشه قیمتش !!!! برای استارت خیلی گرونه . بعد گفتم چیپش قوی باشه و ظرفیتش هم خیلی کم نباشه ولی دیگه SPARTAN-6 خیلی زیاد نیست ! آخرین تکنولوژی برای شروع !! اگه یه برد زیر 100 باشه خیلی خوبه . منظور من از بردهای چینی از این Breakout Board های fpga بود که فقط پایه و این جور چیزا رو بیرون دادن . و البته برای پروگرامش هم باید فکری کرد . البته من این برد آقای زرکوب رو یه بررسی کردم فکر کنم اگه همین 70 باشه خیلی خوبه یا اینکه اگه ارزونتر در میاد ما شماتیک همین برد رو که داریم یسری ازش میزنیم ...

حرفت درسته مهندس قیمت بردها برای شروع خیلی گرون هست. من خودم نظرم روی همون Papilio هست. حالا دیگه خود دانید!

skiboys
07-08-2013, 00:33
سلام خدمت دوستان عزیز
من تا حالا چندبار می خواستم کار با FPGA ها را شروع کنم ،در عمل هر بار اتفاقی افتاد و نشد
انشا الله این بار با کمک هم شروع می کنیم :mrgreen:
منم نظرم اینه که از یک بورد ساده و دولایه که بتونیم به راحتی مونتاژ کنیم شروع کنیم یا حداقل اگر بورد چینی می خواد وارد باشه امکانات پایه را داشته باشه و ارزون باشه
همان طور که آقای شریفیان گفتند کار کردن با FPGA یک فرقی که با میکروها داره اینه که بیشتر افرادی که می روند دنبالش به عنوان پروژه دانشجویی تا تحقیقاتی بهش نگاه نمی کنند و می خواهند در عمل و صنعت هم ازش استفاده کنند
از دوستان با تجربه در این زمینه خواهش می کنم زودتر یک بوردی را پیشنهاد کنند یا اینکه روی یک شماتیک به تفاهم برسیم و شروع به کار کنیم

sadeghbakhshi
07-08-2013, 01:10
من هم موافق هستم
یکی از لازمه های استخدام کار کردن با fpga شده و
الان هم محدود دانشگاهی هست که FPGA رو اموزش میده
ولی وقتی که دست به سخت افزار ببریم یه جورایی به یاد گیری هم کمک میکنه
مثلا هیچ وقت توی نرم افزار با چیزی به اسم نویز سرکار نداریم ولی در واقعیت یکی از عواملی هست که
بد جوری اذیت میکنه
من برد های آقای عبدالهی رو هم یه نگاهی انداختم
به نظر من این بردش بد نیست یه میکروی PIC و یک چیپ XILINX روش هست
دوستان هم یک نگاهی بندازند
دیششب هم به ایستا سر زدم و عضو شدم نوشته بود که تا اطلاع ثانوی فروش ندارند
این هم ادرس اون چیزی که آقای عبدالهی لطف کردند
ایـــــــــران میـــــــکرو ™ - مشاهده یک موضوع - مجموعه development جدید برای دانلود (You can see links before reply)
You can see links before reply
این هم عکسش You can see links before reply

jonbakhsh
07-08-2013, 01:29
این نرم افزار tina از کجا میشه دانلود کرد من برخوردی باهاش نداشتم
البته از بحث برد و طراحی اون هم فاصله گرفته شد با این موضوع ... !

توی سایت tina.com میتونی نرم افزارش دان کنی بعد بگو تا کرکش برات میل کنم این هم یک آموزش پارسی You can see links before reply - شبیه سازی کدهای VHDL در نرم افزار TINA (You can see links before reply)

mzarkoob
07-08-2013, 11:25
این هم یک آموزش پارسی You can see links before reply - شبیه سازی کدهای VHDL در نرم افزار TINA (You can see links before reply)
این سایت You can see links before reply برا من فقط باز نمی شه یا دیگران هم نمی تونند بازش کنند!؟

hossein1387
07-08-2013, 11:34
این سایت You can see links before reply برا من فقط باز نمی شه یا دیگران هم نمی تونند بازش کنند!؟

اتفاقا من تا دیروز نمیتونستم بازش کنم ولی الان میتونم وارد سایت بشم.

jonbakhsh
07-08-2013, 12:30
خوب این برد چطوره ؟؟؟ همه پایه ها اومده بیرون با سیم کشی میشه روی یک بردبرد باهاش کار کرد.
قسمت تغذیه پروگرامر هم روی برده . دقیقا مثل برد های arm سایت
اگه خوبه بگید تا بزارم توی سایت
You can see links before reply

esisafa
07-08-2013, 13:47
بهتره که یک هدر بورد با قابلیت قرار گرفتن روی برد بورد داشته باشیم.
حالا یکی روی برد بورد کار میکنه یکی بورد توسعه استفاده میکنه و ...
همین بورد بالا نسبت به بقیه بهتره

jonbakhsh
07-08-2013, 13:53
بهتره که یک هدر بورد با قابلیت قرار گرفتن روی برد بورد داشته باشیم.
حالا یکی روی برد بورد کار میکنه یکی بورد توسعه استفاده میکنه و ...
همین بورد بالا نسبت به بقیه بهتره
آخه مشکل اینه که 200 تا پایه چجور میخای روی برد برد ببندی . برد برد 2 ردیف 50 تا 60 تائی میشه پین هدر داخلش کرد که اون هم میشه 100 تا 120 پایه ولی fpga کم کمش 200 تا پایه ورودی خروجی داره

hossein1387
07-08-2013, 15:58
نظرتون در باره این سری از بردها چی هست؟

You can see links before reply
سایت زیر بردهای خوبی داره:
USB-FPGA Module 1.2: Spartan 3 FPGA Board with USB 2.0 Microcontroller (You can see links before reply)

jonbakhsh
07-08-2013, 17:24
خوب این هم با برد برد مشکل داره . 2 ردیف پین هدر 2*40 داره

mzarkoob
07-08-2013, 17:33
الان این که زدید قیمتش چنده من از سایتش قیمت میدیدم زیاد در میاد!

esisafa
07-08-2013, 17:59
آخه مشکل اینه که 200 تا پایه چجور میخای روی برد برد ببندی . برد برد 2 ردیف 50 تا 60 تائی میشه پین هدر داخلش کرد که اون هم میشه 100 تا 120 پایه ولی fpga کم کمش 200 تا پایه ورودی خروجی داره
درست میفرمایید ولی بهتره از ایسی ای استفاده کنیم که تعداد گیت های اون بیشتر باشه تا تعداد پایه ها. اون همه پایه لازم نیست ولی هر چی گیت بیشتر باشه بهتره. در ضمن تعدادی از پایه ها به عنوان تغذیه و پروگرمر و حافظه جانبی و غیره روی همون هدر بورد استفاده میشه و لازم هم نیست که همه ی پایه ها رو استفاده کنیم. سایز هم لازم نیست روی یک بردبورد سوار بشه میشه رو دو تا بردبورد سوار کرد. تا جایی که پایه ها جواب میده رو بردبورد سوار میشه و بقیه با پین هدر اضافه با کابل فلت در دسترس قرار میگیره و هزار راه دیگه هست
مثلا همین نمونه بالا خوبه. برای بردبورد بجای 4 ردیف پین از دو ردیف یعنی یک ردیف از هر طرف استفاده میکنیم و میشه روی بردبورد استفاده کرد

yusef110
07-08-2013, 19:22
چرا با cpld شروع نکنیم حتما باید fpga باشه ؟
هزینه اش هم برا آموزش کمتره .

sadeghbakhshi
08-08-2013, 01:31
به نظر من
یک چیزی بگیریم که حداقل یه پورت جی تگ چندتا دونه ال ای دی
با یکی دوتا سویچ باشه اگه سوکت ال سی دی هم باشه که خب چه بیتر
یا حتی وی جی ای
مثلا یک چیزی شبیه عکس زیر
You can see links before reply
بچه ها به دوتا لینک زیر هم سر بزنید
Papilio One Source Files - Gadget Factory Forum (You can see links before reply)
Papilio Pro Source Files - Gadget Factory Forum (You can see links before reply)
Papilio Pro [FPG11291M] - $84.99 : Seeed Studio Bazaar, Boost ideas, extend the reach (You can see links before reply)
بچه ها این هم هست که یک Spartan 3E داره با توانایی دسترسی به تمام پایه ها و یک پورت RS232
You can see links before reply

Doloop
08-08-2013, 01:50
الان دوستان دنبال بورد آماده میگردن یا نه خودشون میخان PCB بزنن ؟؟؟!!!

اصلا مشخص کردیم دنبال چی بگردیم ؟!!!

الان هر کدومتون دارید یه بورد پیشنهاد میدید ولی معلوم نیست تصمیمات کجا گرفته شده ؟!!!

FPGA یا CPLD

Altera یا Xilinx

البته از پیشنهادات مشخصه نظرتون رو Xilinx هست :0013:

خوب حالا دنبال بورد آماده بگردیم یا PCB گیر بیاریم خودمون چاپ کنیم !!!!

Doloop
08-08-2013, 03:15
من میگم بورد به این سبک باشه با یکم امکانات بیشتر از این همین
البته این اونقدر فول امکاناته که دیگه جای حرفی نمیزاره :0013:

You can see links before reply


و این هم هست :

You can see links before reply





Spartan 6 LX9
SDRAM of 32 MByte
SPI Flash of 8 MBit
36 I/O
USB to UART link
USB JTAG on board
8 LEDS connected to the FPGA
4 DIP switches connected to the FPGA

esisafa
08-08-2013, 14:40
مثل اینکه داریم کم کم به یک نتیجه مشترک میرسیم
به نظر من ابزارهای پروگرم و راه اندازی و تغذیه و روی هدر بورد باشن و تعدادی از پایه ها تا حد ممکن برای قرار گرفتن روی بردبورد باشن و اگه چیزی موند با پین هدر و کابل فلت در دسترس باشن. وقتی بشه وسیله رو روی بردبورد قرار داد که دیگه به چیزایی مثل کیپد و ال ای دی و السیدی نیاز نیست که روی بورد ایسی باشه و به بردبورد متصل میکنیم.
این بورد رو به کسانی که میخوان کار ماژولار هم بکنن میشه فروخت
هردو بوردی که آقا حسین پیشنهاد کردن به نظر من جالب هستن. دومی خیلی خوبه و اولی خیلی ارزون ولی فقط یک مبدل هست

sadeghbakhshi
09-08-2013, 13:32
به نظر من هم این دومی خیلی خوب هست ولی
spartan6 خیلی گرون میشه و شرطی که خیلی مهم هست اینکه
بتونیم این برد رو تو ایران چاپ کنیم
من بعید میدونم کسی باشه که بتونه این کار رو انجام بده lov:

esisafa
09-08-2013, 15:43
اره لازم نیست که چیز به این بالایی باشه. هدف چیزایی که داره هست و اون شکلی که در نظر داریم. حتی لازم نیست که fpga باشه و cpld هم خوبه. هدف از تایید اون بورد، ساختارش بود

yusef110
09-08-2013, 17:29
خدا رو شکر روی cpld به توافق رسیدیم حالا یه فکری برا پروگرامر بکنیم که خربزه آب است :mrgreen: .

sadmonew
09-08-2013, 17:46
خدا رو شکر روی cpld به توافق رسیدیم حالا یه فکری برا پروگرامر بکنیم که خربزه آب است :mrgreen: .
سلام
مهندس این یه نمونه پروگرمر که سه سال پیش ساختمش و به پورت lpt وصل میشه!

yusef110
09-08-2013, 19:15
پروگرامر usb سراغ ندارید ؟

esisafa
09-08-2013, 20:33
نمیشه از یک پروگرمر jtag عمومی یو اس بی استفاده کرد؟؟؟
lpt و laptop متضاد هم هستن.
یک سوال اساسی: چرا مبدل های usb2lpt مثل پورت lpt جواب نمیدن. دلیل چیه. چه چیز خاصی در lpt هست که در این مبدل در نظر گرفته نمیشه؟؟؟؟ بخاطر درایور ناقص هست یا سخت افزار؟ مبدل سازگار اومده یا نه؟

sadmonew
09-08-2013, 20:35
پروگرامر usb سراغ ندارید ؟
اینو (You can see links before reply) نگاه کن.
تو این لینک در مورد آیسی CY7C68013A هم صحبت شده که فکر کنم برای ساخت پروگرمر مناسب باشه.

esisafa
09-08-2013, 20:39
اینو (You can see links before reply) نگاه کن.
این که نتیجه نگرفت. اون آیسی نیاز به سورس داره که قطعا در دسترس نیست

hossein1387
09-08-2013, 20:55
مبدل usb به lpt رو نمیشه در اینجا استفاده کرد. این مبدل ها فقط پایه های دیتای پورت lpt رو تبدیل میکنن و پایه های کنترلی رو تبدیل نمیکنن.

esisafa
09-08-2013, 21:21
مبدل usb به lpt رو نمیشه در اینجا استفاده کرد. این مبدل ها فقط پایه های دیتای پورت lpt رو تبدیل میکنن و پایه های کنترلی رو تبدیل نمیکنن.
خوب راهی داره؟

hossein1387
09-08-2013, 22:21
نه من خودم امتحان کردم. اتفاقا 2 سال پیش که خریدم گرون ترین مبدل رو هم خریدم ولی متاسفانه جواب نداد.

sadeghbakhshi
10-08-2013, 01:06
سلام
کامپیوتر من هم پورت سریال و ال پی تی نداره
یک چیزی که امروز تونستم پیدا کنم این کارت های توسعه هست
مثل PCI to USB هست ولی پورت سریال و ال پی تی هست
به نظرتون جواب میده؟
این دوتا لینک رو ببینید
کارت Parallel PCI - فروشگاه لوازم جانبی تتیس مارکت (You can see links before reply)
You can see links before reply
You can see links before reply

Doloop
10-08-2013, 01:42
خوب هنوز مشکل بورد حل نشده پروگرمر هم اضافه شد :sa:

اگه همینطوری پیش بریم فقط مشکلات رو هم تلنبار میشن:baad:

به قول یکی از رفقا Step By Step

از الان کسی پست اسپم نده خواهشا"

بزارید یه مدیریتی روی تایپیک داشته باشیم :wink:

اول : هنوز در مورد بورد به نتیجه نرسیدیم بالاخره خودمون میخواهیم دست بکار بشیم یا نه یه پولی بدیم و یه آماده شو بخریم و سریع شروع کنیم ؟؟؟ (فقط سریع)

فعلا بحث پروگرمر رو کنار میزاریم و مسائل مربوط به امکانات و اقلام بورد رو مطرح میکنیم :0013: تا به نتیجه برسیم

خوب حالا مشخصات و امکانات بورد مد نظرتون رو بگید :

به نظر من روی بورد این موارد باشه :

0) میکروی مناسب با تعداد گیت بالا (این مورد با قید شماره پردازنده لطفا)
1) سریال یکی کافیه
2) VGA هم یکی
3) میکرو سوئیچ دو یا سه تا
4) LED چهار یا شش تا
5) یه حافظه خارجی هم داشته باشه
6) بقیه پینها هم روی دو تا هدر تک ردیفه قرار بگیره
7) برای تغذیه بورد هم یه Power Jack DC و رگولاتور میزاریم
8) یه کانکتور یا هدر باکس هم برای پروگرم کردن میزاریم
9) کانکتور USB Micro یا Mini


فقط این قضیه رو هم در نظر بگیرید که ممکنه تعدادی از مواردی که شما یا بنده عرض میکنیم طی بحثهامون حذف بشه گفتم که یوقت گله مند نشید که چرا اینو نگذاشتید و اونو گذاشتید ولی به نظر من توی این مورد اطلاعات با تجربه ها مفیدتره و نظر خود بنده اینه که هرچی دوستان با تجربه بگن بنده قبول دارم :hi:

همین و منتظر نظرات دوستان دیگر هم هستیم:0013:

sadeghbakhshi
10-08-2013, 02:21
من هم چون همیشه اوکی هستم :mrgreen: با حسین آقا موافقم
در مورد پروگرامر هم اول باید مشخص بشه که زایلیکس کار میکنیم یا آلترا
توی همین تاپیک های اطراف هم یکی از دوستان برد پروگرامر گذاشته که من هم توی
چند صفحه ی پیش لینکش رو دادم

esisafa
10-08-2013, 13:58
بحث پروگرمر در اینجا (You can see links before reply) ادامه داده بشه بهتره
بهتره که بحث سخت افزار و آمورش هم جدا بشه. یعنی در سه بخش آموزش و سخت افزار و پروگرمر

آقا حسین مگه خودتون اون دو مدل هدربورد رو قرار ندادین که مورد تایید دوستان بود؟؟؟؟ حالا چی شد یهو این پیشنهادها رو دادین. امکانات یک بورد آموزشی میکروی آرم که دیگه هدربورد نمیشه

sadeghbakhshi
10-08-2013, 14:31
من امروز با شرکت ایستا تماس گرفتم میگفت
اون برد IS300 هم یه برد برای چیپ داره هم یه مین برد برای
سون سگمت و پورت سریال و... داره ولی متاسفانه تا اطلاع ثانوی فروش نداره
چون قیمت قطعات بالا رفته lov:
با اوا هم تماس گرفتم ارزون ترین بردش 190 تومن هست که Spartan3 داره
من هم هرچی یافتم توی ادرس زیر آپلود کردم
ایـــــــــران میـــــــکرو ™ (You can see links before reply)
البته یکی دونمونه Spartan 6 هم پیدا کردم ولی خیلی گرون در میاد
من هنوز هم به جستجو ادامه میدم تا شاید بتونم یه برد خوب پیدا کنم :mrgreen:

Doloop
10-08-2013, 14:52
سلام


آقا حسین مگه خودتون اون دو مدل هدربورد رو قرار ندادین که مورد تایید دوستان بود؟؟؟؟

من اون دو مدل رو قرار دادم تا دوستان قید بوردهایی که پین هدر های دوبل دارن رو بزنن چون واقعا هم کاربا بورد هایی که پین هدر دوبل دارن سخته هم دردسرش زیاده (ضریب خطای سیم کشی هم بالاست)
عرض کردم بورد ها توی اون سبک باشن بهتره , یعنی پین هدر ها در دو طرف بورد و تک ردیفه باشن :0013:


امکانات یک بورد آموزشی میکروی آرم که دیگه هدربورد نمیشه

بله درسته و منم قصدم تنها زدن هدربورد خالی نیست چون ما که داریم وقت و هزینه میزاریم لااقل یه چیزی باشه که ارزششو داشته باشه ... و البته انتظارندارم همه مواردی که عرض کردم روی بورد قرا بگیره ولی خوب چندتاش باشه بدک نیست:0013: و منتظر نظرات دوستان دیگه هم هستیم
قرار دادن یه VGA و سریال و سوئیچ و LED هم که کاری نداره و تو نت هم شماتیک براش زیاده :0013:
فعلا مهمتر از همه نوع میکرو هست که هنوز به توافق جمعی نرسیدیم :sa: تا الان دوستان فقط بورد پیشنهاد دادن و اسمی از پردازنده نبوده , ما که تجربه نداریم لااقل دوستانی که با یکی دو مورد کار کردن نظربدن کدوم پردازنده برای شروع کار مناسبه البته با توجه به بازار ایران

یکی از دوستان هم به من این دو مورد رو پیشنهاد داد
که با اجازه خودش ازش نقل قول میکنم :



به نظرم از CPLD استفاده کنید؛ در کل هم تفاوتی نداره ولی حداقل اینه که CPLD حافظه جانبی نمی خواد.
برای CPLD اگر از coolrunner استفاده کنید ؛ جای زیادی داره و همه چیز به راحتی داخلش جا میشه. سرعت خوبی هم داره این CPLD معمولی سری 95 واقعا جای کمی دارند.

اگر هم خود FPGA می خواهید SP3 خیلی خوبه و کامل.

mahdiksh
10-08-2013, 15:29
سلام.
.
برای استفاده از FPGA یا CPLD خوبیش اینه که مهم نیست که برای چه FPGA یا CPLD برنامه نوشته میشود.( البته در شرایط خاص این جمله درست نیست)
معمولا FPGA ها هم package های مشابه دارند با ظرفیت های متفاوت که اگر روی یکی برنامه جا نشد آی سی را در میارید و آی سی دیگه رو روش لحیم میکنید و کارتون رو ادامه میدید.
.
برای شروع گزینه های زیادی دارید که میتونید ازشون استفاده کنید.
من اولین باری که برد CPLD ساختم برد زیر رو راه انداختم.
CPLD: Complex programmable logic devices - DP (You can see links before reply)
هم برد خوبیه و هم چند تا آموزش مقدماتی داده.

اگر تا اینجا روش بحث ندارید بریم سراغ برنامه نویسی و پروگرمر.
در نهایت خوبه
افرادی که دارن این کار رو میکنن هدفشون رو هم از این کار بنویسن ؛ که در نهایت چه کاری از این برد می خوان چون ممکنه این برد کارشون رو پشتیبانی نکنه.

mahdiksh
10-08-2013, 15:29
سلام.
.
برای استفاده از FPGA یا CPLD خوبیش اینه که مهم نیست که برای چه FPGA یا CPLD برنامه نوشته میشود.( البته در شرایط خاص این جمله درست نیست)
معمولا FPGA ها هم package های مشابه دارند با ظرفیت های متفاوت که اگر روی یکی برنامه جا نشد آی سی را در میارید و آی سی دیگه رو روش لحیم میکنید و کارتون رو ادامه میدید.
.
برای شروع گزینه های زیادی دارید که میتونید ازشون استفاده کنید.
من اولین باری که برد CPLD ساختم برد زیر رو راه انداختم.
CPLD: Complex programmable logic devices - DP (You can see links before reply)
هم برد خوبیه و هم چند تا آموزش مقدماتی داده.

اگر تا اینجا روش بحث ندارید بریم سراغ برنامه نویسی و پروگرمر.
در نهایت خوبه
افرادی که دارن این کار رو میکنن هدفشون رو هم از این کار بنویسن ؛ که در نهایت چه کاری از این برد می خوان چون ممکنه این برد کارشون رو پشتیبانی نکنه.

sadeghbakhshi
10-08-2013, 16:48
دوباره سلام
من توی بردهای آقای عبدالهی یک نمونه دیدم که به نظرم بد نیست
ال سی دی کاراکتری و اسپارتان 3 داره
این هم عکسش You can see links before reply
من یه نگاه سطحی انداختم قطر ترک و کلیرنسش 0.2 میلی متر بود
استادید هم یه نگاه بندازند
از لینک زیر میتونید دانلود کنید
You can see links before reply(microelectronic .persiangig.com).7z
راستی من تو یک سایت دیدم که با یکی از همین برد های پاپیلو که لینک داده بودم
ال سی دی مانیتور رو راه اندازی کرده بودند

Doloop
10-08-2013, 19:14
سلام



افرادی که دارن این کار رو میکنن هدفشون رو هم از این کار بنویسن ؛ که در نهایت چه کاری از این برد می خوان چون ممکنه این برد کارشون رو پشتیبانی نکنه.

به نظرمن الان دوستان فقط میخان یه دید کلی نسبت به این نوع پردازنده ها پیدا کنن من جمله خودم
و بعدش هم که به قول خودتون " مهم نیست که برای چه FPGA یا CPLD برنامه نوشته میشود "

من میخام فعلا با یکی کار رو شروع کنم تا هم استارت کار بخوره هم زمینه ای باشه برای سریهای دیگه FPGA و غیره ...
دربین ما هستن کسانی که سابقه کار با این پردازنده هارو دارن و شاید اونا این زمینه رو بدست آوردن و برای کارهای بزرگتر میخان به صورت حرفه ای یاد بگیرن

به نظر بنده مبنای کار این تایپیک این باشه که همه مبتدی هستن و آموزش ها از صفر شروع بشه :wink:

در مورد پردازنده من اینارو پیشنهاد میدم :


XC95288XLTQ144
21800 تومن
6400 گیت
117 I/O
100 مگاهرتز حداکثر فرکانس
******************
XC95144XL
10800 تومن
3200 گیت
81 I/O
100مگاهرتز حداکثر فرکانس
*******************
XC9572XL
8500 تومن
1600 گیت
69 I/O
100مگاهرتز حداکثر فرکانس
********************

نظرات با کدوم هست ؟!؟!؟
من
XC95144


در ضمن فروشگاه اینترنتی برای اسپارتان من جایی رو پیدا نکردم کسی سراغ داره ؟؟

esisafa
10-08-2013, 19:24
بفرما اینم برد آموزشی
You can see links before reply(10).jpg

پرشین گیگ - دانلود - xilinx board.rar (You can see links before reply)

تا فردا شب برد پروگرامر اونم میزارم .
خوب اینم هست و با همه چیز. ولی برای من راه اندازی این وسایل مهم نیست من فقط میخوام که یک cpld یا fpga راه بندازم و یکی دوتا برنامه ساده پروگرم کنم و بقیه به مرور حل میشه.
میخوام همه چیز برای کار با این ایسی ها کنار هم قرار بگیره. مثل محیط برنامه نویسی و طراحی سخت افزار و پروگرمر و از این چیزا و هدفم این نیست که ال سی دی راه بندازم. مطمئن هستم که در رشته ی کنترل بالاخره نیاز به یک کنترلر سریع که با میکرو جواب نمیده خواهم داشت و در همین حد. این یعنی اینکه برای من یک adc و dac سریع مهمتر هست تا ال سی دی

yusef110
10-08-2013, 19:36
در ضمن فروشگاه اینترنتی برای اسپارتان من جایی رو پیدا نکردم کسی سراغ داره ؟؟



جوان داره.

sadeghbakhshi
10-08-2013, 19:36
در ضمن فروشگاه اینترنتی برای اسپارتان من جایی رو پیدا نکردم کسی سراغ داره ؟؟

[/CENTER]

حسین آقا اگر دنبال برد اسپارتان هستی به ادرس زیر مراجعه کن
Spartan-3AN_XC3S700AN-FG484 | شرکت رهپويان علم و صنعت آوا (You can see links before reply)
اگر هم دنبال خود اسپارتان هستی من فقط تونستم سری 3 رو پیدا کنم توی 3 ادرس زیر
فروشگاه جوان الکترونیک | صفحه اصلی (You can see links before reply)
You can see links before reply
You can see links before reply
ادرس اول وال اقای قنبری (جوان الکترونیک )هست
دویمی هم پایا الکترونیک (اقای مهرداد لاریجانی)
سومی هم ایران الکترونیک هست که یکی دوسالی میشه اسمش شده یونیک الکترونیک
هدف من هم توی این تاپیک یادگیری هست و میخواهم یه جورایی استارت بزنم وبعدها
خودم رو توی این رشته قویتر کنم :mrgreen:
و خیلی دوست دارم که یه قطعه طراحی کنم :o

jonbakhsh
10-08-2013, 20:38
دویمی هم پایا الکترونیک (اقای مهرداد لاریجانی)
:o

طرف این نرید که قیمت هاش خیلی چرته . pic18f452 جوان میداد 12 تومن این میداد 30 هزار تومان تا بهش گفتیم یه چند تا زنگ زد گفت اعتراض وارد 19 هزار تومان . این فقط یک نمونش . فقط از جوان بخرید قیمت هاش خیلی خیلی مناسبه

mahdiksh
10-08-2013, 21:53
به نظر من هم
xc95144xl
10800 تومن
3200 گیت
81 i/o
100مگاهرتز حداکثر فرکانس

گزینه مناسبی هست.

Doloop
10-08-2013, 21:58
سلام


اگر هم دنبال خود اسپارتان هستی من فقط تونستم سری 3 رو پیدا کنم توی 3 ادرس زیر
فروشگاه جوان الکترونیک | صفحه اصلی (You can see links before reply)این پکیجش BGA که !!!
قیمت اسپارتان3 خیلی خیلی بالاست :mrgreen: بیخیال


در مورد قیمت هم بگم توی جوان هم من قیمت های تخیلی زیاد دیدم

یادمه جیلینک زده بود 150000 تومن :mrgreen:

mahdiksh
10-08-2013, 22:06
زیر 40 تومان fpga درست حسابی نداریم ؛ تازه قیمت حافظه اش هم اضافه میشه که اونم باید بخرید.

sadeghbakhshi
10-08-2013, 22:15
زیر 40 تومان fpga درست حسابی نداریم ؛ تازه قیمت حافظه اش هم اضافه میشه که اونم باید بخرید.
:x
چه خبره؟
40 هزار تومن پول بی زبون بدیم که چی بشه؟ :baad:
مگه همین Pic چی کم داره؟ :mrgreen:
ولی جدا از شوخی باید یک روز وقت بزاریم بازار رو شخم بزنیم ببینیم قیمت کی کمتر هست
چون من توی فرقانی هم دیدم که میفروشند مثل آرا و. . .
خیلی از این سایت ها هم قیمتشون به روز نیست البته جوان رو مطمئنم که همیشه آپدیت هست
ولی هیچ وقت ماست من چی؟؟؟؟ ترش نمیشه :mrgreen:
بگید خب

jonbakhsh
10-08-2013, 22:31
من یک برد با xc95144 میکشم تا فردا یا پس فردا توی سایت میزارم.
دقیقا مثل برد آموزشی arm سایت باشه همه پایه هارو با پین هدر بیرون میارم و یک
فیش پروگرامر هم براش میزارم

sadeghbakhshi
11-08-2013, 00:37
نظرات با کدوم هست ؟!؟!؟
من
xc95144




[/center]

من هم با رای دوستان موافقم :0013:

Doloop
11-08-2013, 02:45
من یک برد با xc95144 میکشم تا فردا یا پس فردا توی سایت میزارم.
دقیقا مثل برد آموزشی arm سایت باشه همه پایه هارو با پین هدر بیرون میارم و یک
فیش پروگرامر هم براش میزارم

بابت پذیرفتن این زحمت ممنون :wink:

راستی دوستان دیگه سر قضیه امکانات بورد نظری نداشتن ؟؟؟

هر چی من گفتم قبوله !!!


0) میکروی مناسب با تعداد گیت بالا (این مورد با قید شماره پردازنده لطفا)
1) سریال یکی کافیه
2) VGA هم یکی
3) میکرو سوئیچ دو یا سه تا
4) LED چهار یا شش تا
5) یه حافظه خارجی هم داشته باشه
6) بقیه پینها هم روی دو تا هدر تک ردیفه قرار بگیره
7) برای تغذیه بورد هم یه Power Jack DC و رگولاتور میزاریم
8) یه کانکتور یا هدر باکس هم برای پروگرم کردن میزاریم
9) کانکتور USB Micro یا Mini

من با بودن یا نبودن اینا هیچ مشکلی ندارم غیر از حافظه خارجی که حتما باید باشه حالا یه نند فلشی نورفلشی چیزی براش بزاریم بدک نیست چون یکی از مشکلات اساسی کار با اینا همین محدودیت حافظه هست حالا اونایی که اصلا ندارن بماند :wink:

jonbakhsh
11-08-2013, 08:58
این عکسش
You can see links before reply
خودش هم تا ظهر آپلود میکنم . فقط پین هدر ها از زیر لحیم میشند که من توی 3d اشتباه از رو گزاشتم

mahdiksh
11-08-2013, 10:45
سلام

این کریستال اسیلاتور خارجی دیده نمیشه؟!

jonbakhsh
11-08-2013, 13:24
سلام

این کریستال اسیلاتور خارجی دیده نمیشه؟!

شما توی fpga یا cpld به هر کدام از پایه ها میتونید کلاک بدید .
روی برد برد به هر کدوم که خواستید کلاک بدید .
اگه هم خیلی واجبه روی برد براتون بزارم

mahdiksh
11-08-2013, 13:38
اصلا برد fpga یا cpld بدون اسیلاتور به درد نمیخوره برای تمام مدارات سنکرون کلاک لازمه و چون کلاک فرکانس بالا روش میاد معمولا با پایه ، اونم برد بورد بهش نمیدن.
برای clk هم یک پایه داره که برای کلاک طراحی شده معمولا کلاک رو به همونجا میدن , معمولا 2 یا 3 تا از این پایه ها هست.

بعید میدونم برد cpld یا fpga پیدا کنید روش اسیلاتور نباشه.

jonbakhsh
11-08-2013, 14:20
خوب سعی میکنم بزارم .

Doloop
11-08-2013, 14:50
پس نند فلشش کو ؟؟ii998)

من گفتم چندتاشو حذف کنید نه همشو ii998):) گفتیم هدربورد ولی نه در این حد :0013:

رگولاتور هم روی بورد باشه بهتره و گذاشتن سوئیچ و LED هم بدک نیست

فایل هاشو هم قرار بده ما هم یه تغیراتی روش اعمال کنیم :wink:

jonbakhsh
11-08-2013, 15:09
پس نند فلشش کو ؟؟ii998)

من گفتم چندتاشو حذف کنید نه همشو ii998):) گفتیم هدربورد ولی نه در این حد :0013:

رگولاتور هم روی بورد باشه بهتره و گذاشتن سوئیچ و LED هم بدک نیست

فایل هاشو هم قرار بده ما هم یه تغیراتی روش اعمال کنیم :wink:

رگولاتور داره زیر برده .:mrgreen: باشه همشو میزارم
اگه قرار باشه led - sw ..... روی برد باشه اندازه برد به قدری میشه که باید 2 تا برد برد بزاریم.
ال ای دی روی برد برد قرار میدیم.
اگه دیپ سوئیچ قرار باشه بزاریم مقاومت pulup ..... باید روی برد گزاشت که اندازه برد بزرگ میشه

sigma-mx
11-08-2013, 15:24
آخه Doloop جان با این چیپ فکر نمیکنم بشه اینقدر کد نوشت که بتونی اصلا یه نند فلش رو کانفیگ کنی !!! اگر هم بشه برای استفاده سایر موارد دیگه جایی نمیمونه ! متاسفانه cpld ها استفاده ازشون راحت هست ولی ظرفیتشون هم خب مثل قیمتشون کمه !

mahdiksh
11-08-2013, 15:30
منم به نظرم flash نمیخواد.
Led یه چند تایی. ( 2 تا کافیه + یکی برای پاور مدار)
کلید هم یک عدد که برای ریست مدارات در صورت لزوم.
یک اسیلاتور.

Doloop
11-08-2013, 16:50
خوب اگه نند رو کانفیگ کنیم محدودیت حجم برنامه نخواهیم داشت دیگه درسته ؟!!!


اگر هم بشه برای استفاده سایر موارد دیگه جایی نمیمونه
انشاا... LED که میشه روشن خاموش کرد ؟؟
یعنی اینا در این حد محدودن
خوب اگه مسئله تعداد گیت هاس بیشترش کنیم :mrgreen:


XC95288XLTQ144
21800 تومن
6400 گیت
117 I/O
100 مگاهرتز حداکثر فرکانس





اگه قرار باشه led - sw ..... روی برد باشه اندازه برد به قدری میشه که باید 2 تا برد برد بزاریم.

مگه چقدر باریک زدی که روی یک برد بورد جا میشه !!! :018:
من یادمه یه بورد مبدل SMD به DIP برای AT91SAM7S64 گرفته بودم که به زور توی یه برد بورد جا میشد یعنی توی برد بورد از هر طرف بورد تنها یک ردیف برای کار با برد بورد خالی مونده بود ii998)
اون که 64 پین بود ولی این 100 پینه مطمئنی جا میشه؟؟!!
دوتا برد بورد باشه راحت تر میشه کار کردا !!!:0013:

بورد هم که دورو شده پس فکر نکنم با یکی دوتا LED و سوئیچ هم مشکلی پیش بیاد ...

در کل من حرفمو همون اول زدم : دوستان با تجربه هر چی بگن نظر من هم همونه :wink:

mahdiksh
11-08-2013, 17:00
من یک پورت سریال درست حسابی با 2 fifo با ظرفیت 4 بایت روش راه انداختم ؛ 80 درصد cpld پر میشه.
این cpld ها اگر بخوان io بشن ؛ تقریبا همه گیت ها سر سوییچ کردن بین پایه ها میره.
ولی به نظرم همینو ببینید بعد روی کارتوت تصمصیم بگیرید عوضش کنید.

sigma-mx
11-08-2013, 17:08
خوب اگه نند رو کانفیگ کنیم محدودیت حجم برنامه نخواهیم داشت دیگه درسته ؟!!!
بعید میدونم اینطوری باشه ! میکرو که نیست ! به گیت احتیاج داریم نه فلش !

اگه قراره رو همین cpld ها کار بشه با ظرفیت محدود . من یه برد کوچک دارم epm7032 با همون کار میکنم . ولی این بستگی داره به کاربران این برد ! من حقیقتش قبلا یکمی کار کردم ، عملی هم چند تا برنامه نوشتم منتها به علت فضای محدود این چیپ کار خاصی نتونستم باهاش کنم و الان می خوام در حد بالاتری باهاش کار کنم ، اینه که یه fpga رو ترجیح میدم با 400000 گیت بجای یه cpld با فوقش 6000 گیت رو !
خب یسری شاید تو مرحله اول بخوان فقط یسری مدارات ساده منطقی باهاش بنویسن . برای اینا همین cpld ها مناسبه و هزینش هم بسیار پایینه ولی برای ساخت یه پروژه باید با یه چیز بالاتر کار کرد . متاسفانه fpga برای ما خیلی گرون در میاد و بردهاش هم همینطور ... البته خب فکر هم نکنید که تو خارج قیمتش مفته ! بسیاری از سری های fpga اینقدر گرونند که برای مصارف شخصی نمیشه تهیه کرد ! مثلا یه Virtex-5 فکر کنم 500 -600 قیمتش باشه الان !!! کی حاضره برای یه آی سی نیم ملیون بده ؟!
اگه کسی دنبال یه چیز قابل قبول برای طراحی هست فکر کنم spartan-3 یا spartan-3e مناسبه . الان جوان داره از 40-45 شروع میشه با ظرفیت 400 کیلو گیت . اگه یه برد مناسب که فقط پایه هاش بیرون اومده باشه برای این پیدا کنیم مثل همون برد papilio که مجموع زیر 100 در بیاد خیلی خوبه ...

sigma-mx
11-08-2013, 17:09
من یک پورت سریال درست حسابی با 2 fifo با ظرفیت 4 بایت روش راه انداختم ؛ 80 درصد cpld پر میشه.
این cpld ها اگر بخوان io بشن ؛ تقریبا همه گیت ها سر سوییچ کردن بین پایه ها میره.
ولی به نظرم همینو ببینید بعد روی کارتوت تصمصیم بگیرید عوضش کنید.

با کدوم چیپ ؟

jonbakhsh
11-08-2013, 17:14
این فایلش .
ببینید برد های آموزشی arm سایت هم روشون led یا dipsw نداره اگه بخواهید روی این برد اونهارو سوار کنید
اندازه برد بزرگ میشه و 2 تا برد برد میخواد . روی برد برد هر کسی هر چی میخواد بزاره بهتره
You can see links before reply

mahdiksh
11-08-2013, 17:21
با کدوم چیپ ؟
xc95144xl

jonbakhsh
11-08-2013, 17:33
آره دیگه با xc95144

sigma-mx
11-08-2013, 17:44
اوه اوه !!! ببین با 144 فقط یه پورت سریال جا میشه ، دیگه بقیه چی هستن پس !!!!

jonbakhsh
11-08-2013, 18:23
آخه اصلا فکر نکنم fpga برای این کارهائی که ما میخواهیم بکنیم ساخته شده باشه.
چشمکزن - ال سی دی - کیبورد......
من توی کارهائی که کردم معمولا میکرو با cpld با هم کار کردم . مثلا برای خواندن صفحه کلید
اول با یک پایه از cpld میکرو ریست میکردم . میکرو هم اگه هنگ بود ریست میشد و صفحه کلید میخواند و دیتا به cpld میدادم.
برای نمایش به ای سی دی هم دیتا به یک میکرو میفرستادم و میکرو این کارو میکرد.تازه کلی جا هم زیاد میارم
برای درایو فقط یک lcd کاراکتری حدودا 1000 تا گیت من استفاده کردم یک جمله ثابت روی اون نوشتم . حالا شما حساب کنید کتابخانه stdio توی اون تعریف کنید چقدر گیت میخواد اصلا به صرفه نیست .
fpga بهتره توی صنعت به کار ببرید . به جای plc . من باهاش pwm 24 bit درست کردم . موتور درایو کردم . استپ موتور ..... بدون هنگ کردن تاره 3 ساله کار میکنه . همشم با cpld بستم
توی arm هم ما همینجوری کار میکنیم . یارو توی خارج سیستم عامل کار میکنه ما هنوز داریم دنبال چشمکزن صفحه کلید .... هستیم

mahdiksh
11-08-2013, 18:41
کلا برای پردازش سنگینی که موازی بشه کرد fpga میزارن.
برای ساخت پورت هایی که روتین نیست هم fpga خوب کار میکنه.
برای اینترفیس دو تا برد که اطلاعات با سرعت جا به جا میشوند هم fpga خوبه.
.
ولی فهمیدن اینکه زبان موازی چیه و گیت ها چطور کنار هم چیده میشوند و چطور میشه بهینه سازی کرد طراحی رو cpld قدم خوبی است.
.
خیلی از کارهای بازار مانند همین روشن و خاموش کردن led و ... رو میشه راحتتر و با cpld پیاده کرد.
الان خیلی از پروگرمر ها داخلشون cpld داره.
خلاصه بد نیست با cpld شروع کرد اگر دیدید به کارتون میاد یه بزرگتر و حجم بالاتر رو میشه گرفت.
.
حالا این راه افتاد بعد سیستم عامل هم رو fpga بالا میاریم :0013:

Doloop
11-08-2013, 19:09
برای درایو فقط یک lcd کاراکتری حدودا 1000 تا گیت من استفاده کردم یک جمله ثابت روی اون نوشتم . حالا شما حساب کنید کتابخانه stdio توی اون تعریف کنید چقدر گیت میخواد اصلا به صرفه نیست .
fpga بهتره توی صنعت به کار ببرید . به جای plc . من باهاش pwm 24 bit درست کردم . موتور درایو کردم . استپ موتور ..... بدون هنگ کردن تاره 3 ساله کار میکنه . همشم با cpld بستم

خوب دیگه چی هست ؟؟؟
یواش یواش اونایی که کار کردن دارن تابلو میشن :mrgreen:

میگم شما ها همه کار کرده اید بگید ما تعطیل کنیم بریم دیگه :0013:

jonbakhsh
11-08-2013, 19:40
خوب دیگه چی هست ؟؟؟
یواش یواش اونایی که کار کردن دارن تابلو میشن :mrgreen:

میگم شما ها همه کار کرده اید بگید ما تعطیل کنیم بریم دیگه :0013:
نه بابا انقدرم حالیم نیست . هنو شاگردیم :hi:
آخه من کارم انجام پروژه دانشجوئی و صنعتی هستش و مجبورم همرو یاد بگیرم .
انجام پروژه یه خوبی داره . اونم اینه که با پول مردم اولا یه چیزی یاد میگیری و هم پول میگیری .:mrgreen:
vhdl دستو پا شکسته بلدم چون وقتی وارد گود میشی یه مشکلاتی پیش میاد که نگو
مثلا یک حلقه while مینویسی و فکر میکنی برنامت توی این حلقه وا میسته بعد میبینی که نمیشه....تقسیم و باقی مانده تقسیم به همه اعداد جواب نمیده ....
اگه وقت کردم یک سری از ریزه کاری های توی vhdl به صورت help مینویسم .
دستوراتش هم قبلا جمع آوری کردم مرتب میکنم برا دانلود میزارم
مثل نرم افزار tina نرم افزار MULTISIM هم قابلیت شبیه سازی VHDL داره . اونم اگه وقت کردم به صورت PDF قرار میدم

sadeghbakhshi
11-08-2013, 19:41
اقا خب اسپارتان 3 خوب گرون باشه استارتان 2 مگه چقدر میشه؟
یه دونه هم نند میزاریم روش بقیه ادوات جانبی رو مثل همون کاری که پاپیلو کرده انجام میدیم
اومدن چند تا برد فسقلی زدن بسته به نیازتون هرکدوم رو که خواستید روی برد نصب میکنید اون هم از
طریق پین هدر مثل تک سویچ .پورت سریال یا فیش صدا و . . .
خب ما هم همین کار و انجام بدیم ولی فقط برد اصلی رو جوری بزنیم که به راحتی بتونیم بقیه چیزها رو روش سوار کنیم
الان به تاپیک زیر یه نگاهی بندازید متوجه منظورم میشد
برد آموزشی Spartan3 HMZF3S400محصول شرکت | شرکت رهپويان علم و صنعت آوا (You can see links before reply)
والا اگر قرار باشه هم پول بدیم هم انقدر وقت صرف کنیم که اخرش یه چشمک زن بسازیم به درد نمیخوره
من هم الان توقع ندارم بردی رو بزنیم که بشه پردازش تصویر کرد ولی حداقل بتونیم 4 تا دونه کار ساده باهاش انجام بدیم

mahdiksh
11-08-2013, 20:09
من قیمت های اسپارتان 2 رو هم نگاه کردم ؛ خیلی کم نیست.
اگر بخواهیم برد بزنیم میشه همون اسپارتان 3 ، هم تعداد گیت زیاد داره هم قیمتش خوبه نسبت به اسپارتان 2 ها.
.
به نظرم بیشتر از این وقت گذاشتن اشتباه یه نظر سنجی کنید؛ هر چی شد رو بسازید و گر مه همیشه بین قیمت و کارایی همیشه دعواست.

sigma-mx
11-08-2013, 20:29
بله ، نظر سنجی هم خوبه ..
اصلا ما همگی تو این تاپیک قراره مشکلمونو حل کنیم . قرار نیست که حتما به یک جواب برسیم . خوبه که هم یک ایده برای سطح پایین باشه و هم یکی برای سطح بالا .... دو تا برد پیشنهاد بدید یکی برای یه چیزی مثل xc95144 با 3200 گیت و یه برد هم برای یه spartan-3 ( یا مشابه ) با تعداد 400 کیلو گیت ...
در واقع یه برد برای cpld و یه برد برای fpga .


من خیلی گشتم . در مورد قیمت ، گویا جوان از همه بهتر میده و قیمت spartan و spartan-2 و spartan-3 تقریبا تو یه حدوده . تقریبا از 40 به بالا ... اگه فروشگاه جدید پیدا کردید حتما خبر بدید ..

فکر کنم تو برد cpld از xc95144 یا xc95288 استفاده بشه کافیه . البته بیشتر از این هم فعلا موجود نیست .

ضمنا در مورد پروگرامر هم یه فکر بکنید . مشکل خیلیا نداشتن پورت پارالل هست . بهرحال اگه نتونید برد رو پروگرام کنید که هیچچی !
من خودم یدونه پروگرامر 100+ شرکت TNM دارم که خوشبختانه چند تا CPLD شرکت ALTERA و XILINX و همچنین FPGA های سری SPARTAN , SPARTAN-2 شرکت XILINX رو پشتیبانی میکنه . قبلا هم باهاش پروگرام کردم . USB هم هست ...
خوشبختانه پروگرامر ByteBlaster کلون شده برای سری ALTERA موجوده و آقای زراعی هم میتونند وارد کنند قیمتش باید خیلی کم باشه از طرفی نقشه این پروگرامر هم تو نت موجوده و فقط به یه PIC احتیاج داره . USB هم هست . فقط این در صورتیه که بخواهید رو ALTERA کار کنید !!! برای XILINX نمیدونم باید چی کار کرد !

yusef110
11-08-2013, 21:14
آقا سعید یه پروگرامر spartan-3 با pic18f4550 توی صفحه 2 هست اون به درد نمیخوره ؟

sadeghbakhshi
11-08-2013, 21:30
خب الان فقط میمونه یه نظر سنجی که ببینیم باید چی چیزهایی روی برد بزاریم
و قیمت نهایی رو در بیاریم
و در مورد پروگرامر هم یک تاپیک جدا براش هست که دوستان دارن کار میکنند
به نظر من یکی از همین بردهای پاپیلو رو بدیم شرش کنده بشه زود تر اموزش و یادگیری رو شروع کنیم
هم اسپارتان 3 هست هم اسپارتان 6You can see links before reply
بهش کانکتور و از این جور داستانها میشه وصل کرد با سیم برد بردی هم میتونیم
به برد بورد وصل کنیم بیه چیز تو مایه های بردهای کویر هست
ولی یه کوچولو نه زیاد قوی تره
تازه هم شماتیکش کامله هم یوزر منوال داره به احتمال زیاد مثالهای زیادی هم براش پیدا میشه :mrgreen:
این هم ادرس سایتش با فایل PCB
You can see links before reply

sigma-mx
11-08-2013, 21:41
جالبه ، الان دیدم ! باید کار کنه ... حتما نمونه های مشابه هم داره . خیلی راحت میشه یه پروگرامر کوچیک با یه spartan-3 رو یه هدر برد براش زد و حالشو برد ...

mahdiksh
11-08-2013, 23:41
سلام
اگر قراره SPARTAN 3 باشه به نظرم این برد
You can see links before reply
خیلی بهتر باشه .
پروگرمر هم براش نوشته شده .
راهنما استفاده و شماتیک هم داره.
Downloads | MicroNova Electronics (You can see links before reply)

sigma-mx
12-08-2013, 00:24
مهندس این سایت ویروسیه ! اصلا آنتی ویروس من بازش نمیکنه ! مواظب باشید ..

mahdiksh
12-08-2013, 00:38
اسکریپت نداره، فقط You can see links before reply هست, بعییید میدونم ویروسی باشه.

hossein1387
12-08-2013, 00:49
خوب مثل اینکه دوباره بحث به سمت FPGA رسیده. به نظر من هم Papilio گزینه مناسبی هست و هم این MicroNova برد خوبی هست. البته MicroNova یکم امکانات بیشتری داره.

sigma-mx
12-08-2013, 00:53
الان نگاه میکردم . پروگرامر رو برد papilio رو خوشبختانه ft2232 هست که از jtag اون استفاده کرده ... پس کاملا اوپن سورس هست .

hossein1387
12-08-2013, 01:14
آره دیگه خوبی این برد همین هست. برد MicroNova هم همینجوره. کلا برای پروگرام کردن FPGA های Xilinx اگر از پروگرامر Xilinx cable استفاده نشه باید از طریق پورت سریال پروگرام بشن. برای مثال بردهای شرکت Digilent رو هم میشه با Xilinx Cable پروگرام کرد و هم میشه از طریق مبدل USB2serial که روی برد هست پروگرام کرد فرقش در این هست که در اولی ISE پروگرامر رو میشناسه و به راحتی فایل .bit رو به داخل فلش خارجی میریزه ولی در دومی باید فایل .bit اول توسط ISE ایجاد شه و بعد توسط نرمافزاری که خود Digilent نوشته فایل رو به داخل فلش خارجی انتقال بدیم. در Papilio هم تا جایی که من میدونم یک نرمافزار Opensource براش نوشتن که این کار رو انجام میده چون اگر دقت کنین روی برد مبدل Usb2serial داره. برد Micronova هم دقیقا همین کار رو کرده.

mahdiksh
12-08-2013, 01:26
همین دو برد رو تا حدی میشه ترکیب کرد .
اگر بشه همین ها رو شروع کنیم.
این papilio یک مقدار قسمت پروگرمرش عجیبه ؛ ولی میشه روشون حساب کرد.
ولی microNova خیلی مشخص داره مموری رو برنامه ریزی میکنه.
به نظرم بهترین روش رو هم داره و کاملا مشخص میشه راهش انداخت, هر مموری spi هم میتونیم سر جاش بگذاریم.

mzarkoob
12-08-2013, 12:03
در Papilio هم تا جایی که من میدونم یک نرمافزار Opensource براش نوشتن که این کار رو انجام میده چون اگر دقت کنین روی برد مبدل Usb2serial داره. نمی دونم این دو تا برد ایستا که گرفتیم چرا نمی شه فلاشش را پروگرام کرد(خودشو میشه) و چجوری درستش کردند که این طوری شده :sa: شرکت هم زیر بار نمی ره!:x

hossein1387
12-08-2013, 12:17
نمی دونم این دو تا برد ایستا که گرفتیم چرا نمی شه فلاشش را پروگرام کرد(خودشو میشه) و چجوری درستش کردند که این طوری شده :sa: شرکت هم زیر بار نمی ره!:x

مهندس اینجا یک سر بزن ببین میتونی مشکل رو پیدا کنی:
Gadget Factory Forum (You can see links before reply)

mahdiksh
12-08-2013, 16:05
متوجه نشدم؟!
یعنی papilio پروگرمرش ایراد داره؟

mzarkoob
12-08-2013, 19:28
این بردی که من از ایستا کیت گرفتم خود fpga پروگرام میشه ولی قائدتا تا برق قطع میشه برنامه هم میپره. تا می خواهیم این برنامه را بریزیم در فلاش برد( که با قطع برق برنامه بماند ) خطا میده :

Pleas Wait
JTAG chainpos: 0 Device IDCODE = 0x11c1a093 Desc: XC3S250E
Uploading "Prom.cfg". Done.
Programming time 135.7 ms
Programming External Flash Memory with "fpga_project1.bit".
Uknown Flash Manufacturer
Error: SPI Status Register [0x00] mismatch (Wrong device or device not ready)..
Error occured.
USB transactions: Write 39 read 4 retries 0
حالا ایراد از چیه و آیا سایر دوستان هم گرفتند همین ایراد دارند و .. نمی دونم
خود شرکتم زیر بار نمی ره میگه اگه نمی خواید پس بفرستید هزینهایی را کم می کنم پولتون پس میدم! ضمانتی هم که دادن هیچ

sigma-mx
12-08-2013, 20:39
عجب ساپورت خوبی !!! نکنه فلشش از این PROM های OTP باشه که فقط یکبار پروگرام میشن ؟!
شماره فلشش چیه ؟
نمیدونم واقعا چی بگم ! اگه شرکت سازنده نتونه جوابگو باشه ، پس کی باید .... !!!
واقعا بد دور و زمانه ای شده . من اصلا میام یه برد طراحی میکنم ، دو تا چیپ سوخته هم روش مونتاژ میکنم . هر کی هم خرید تماس میگیره ، منم میگم حتما خودتون زدید سوزوندید !!! :wink: بردها همه تست شده اند :0013: کی به کیه !

mahdiksh
12-08-2013, 21:21
شما به جای فایل ,bit ، باینری رو هم تست کنید و هم یه فایل mcs که با اون مموری رو پروگرم میکنن بسازید. و فایل بیت رو مستقیم به مموری ندید. برای ساختن فایل mcs هم باید اطلاعات کامل prom رو وارد کنید.
احتمالا مشکل رو این روشها حل میکنه.
دومین نکته هم که به نظر میرسه اینایی که پورت USB دارن خود این تیم باید یک برنامه برای پروگرم کردن داده باشه.

sadeghbakhshi
13-08-2013, 00:49
الان نگاه میکردم . پروگرامر رو برد papilio رو خوشبختانه ft2232 هست که از jtag اون استفاده کرده ... پس کاملا اوپن سورس هست .

با سلام
باید خدمت دوستان به خصوص اقا سعید عارض بشم که این بردهای پاپیلو تمامی اپن سورس
هست و از یوزمنوال تا خود فایل PCB توی سایت سازنده وجود داره
و از مثالهاش میشه استفاده کرد
و یکی دو نمونه حافظه هم دارند که به صورت اکسترنال به پایه های پین هدر متصل میشه
و میشه برنامه رو روی اونها لود کرد
من هم به عنوان کوچکترین عضو :mrgreen:از دوستان خواهش مندم که اگر برد اف پی جی ای طراحی شده دارند توی سایت قرار بدند تا بتونیم یه مقایسه ای بینشون انجام بدیم یه نظر سنجی کنیم و یکی رو برای شروع انتخاب کنیم

Doloop
13-08-2013, 02:27
فکرکنم کسی چیزی نداره و خودمون باید یکی طراحی کنیم

حقیقتش اینقدر این و اون کردیم من نفهمیدم بالاخره کدوم fpga یا cpld قطعی شد ...!!!

esisafa
13-08-2013, 03:45
فعلا برای شروع و راه افتادن همون cpld خوبه که ارزون هم در میاد هم پیچیدگی کمتری داره. حالا بعدا fpga هم کار میکنیم. چطور تا حالا 20 مدل میکرو یاد گرفتین حالا 2 مدل از اینا هم یاد میگیرین

mahdiksh
13-08-2013, 13:34
ابعاد قیمیتی هم مشخص شده و نوع کارکردها هم مشخص هست.
Cpld : تقریبا 10 هزار تومان با 2 هزار تومان کریستال و یک رگولاتور و قطعات کم دیگر روی برد و pcb.
Fpga : تقریبا 40 هزار تومان همراه با 10 هزار تومان فلش و با 2 هزار تومان کریستال و یک رگولاتور و قطعات کم دیگر روی برد و pcb.
سریع به اجماع برسید :|

sigma-mx
13-08-2013, 13:42
برای fpga بخش پروگرامر رو هم داریم . یا ft2232 و یا یه pic برای پروگرام ..

esisafa
13-08-2013, 13:51
بهتره پروگرمر هر چی باشه، جدا باشه تا همیشه استفاده کنیم
به نظرم هر دو رو باید یاد گرفت ولی اول cpld

sadeghbakhshi
13-08-2013, 21:17
خب ماکه قرار هست همه ی این هزینه ها رو کنیم
یدونه ای سی حافظه با 30 تومن اختلاف بین اف پی جی ای و سی پی ال دی
خیلی بهتر نیست؟
یعنی ما اون کار سخته رو انجام میدیم بعدا میتونیم خیلی راحت تر سی پی ال دی هم یاد بگیریم

hossein1387
13-08-2013, 21:30
سلام
به نظر من هم شما که دارین پول میدین، ۳۰-۴۰ تومن بیشتر خرج کنین و با یک FPGA کار رو شروع کنین. من هیچ وقت با CPLD کار نکردم ولی‌ اینطوری که توی سایت‌های مختلف دیدم خیلی‌ زود از نظر تعداد gate کم میارن. برای پروگرامر هم واقعا باید یه فکری بکنین. توی سایت‌های مختلف کلون شدهٔ Xilinx Cable رو میتونین پیدا کنین ولی‌ وقتی‌ با یک برد Papilio همهٔ این هارو کنار هم درین چرا برین جدا جدا اون هارو بسازین؟؟
آقای زرکوب اون مشکل شما حل شد؟؟

esisafa
13-08-2013, 23:29
اولا یکی از ویژگی های این برنامه نویسی اینه که قبل از تکمیل برنامه نمیشه فهمید که درنهایت باید روی کدوم تراشه پروگرم بشه که ارزونتر باشه و نیازها رو هم برآورده کنه. باید بعد از تکمیل برنامه اون رو برای تراشه های مختلف سنتز کرد تا ببینیم که ارزونترین تراشه که کار میکنه چیه.
اما برای تست عملی برنامه خوبه که یک بورد خوب داشته باشیم که تا مرحله ی تکمیل برنامه کم نیازه. ولی این بورد تست باید ویژگی هایی داشته باشه. مثلا فقط برای آموزش طراحی نشده باشه و چند تا وسیله ی آن بورد (on board) روی اون باشه و بعد از یادگیری نشه استفاده کرد. باید حتما قابلیت اتصال پایه های تراشه به بوردهای دیگر و بردبورد رو داشته باشه.
برای این هدف خوبه که از fpga استفاده بشه.

در نهایت اگر دوستان تصمیم بگیرن که بوردی استفاده کنیم که بتونم از اون برای تست چندین پروژه استفاده کرد و منعطف باشه و صرفا آموزشی نباشه، بنده هم هستم. اگه این هدف باشه که fpga با حافظه و پروگرمر خوبه
اما اگه صرفا آموزشی باشه دلیلی نداره که زیاد هزینه کنیم و cpld کافیه
این هدف من هست برای ادامه این موضوع

sigma-mx
14-08-2013, 00:30
من هم با نظر شما موافقم . قبلا هم همینها رو گفتم . توی همین papilio تمام پایه ها بیرون اومدن و این یعنی هم میشه برای آموزش استفاده کرد و هم برای پروژه . اگه به همین شکل هدر پین مادگی ساخته بشه براحتی پینها با سیم بردبردی به بردبرد وصل میشه و اگه از زیر هدر نری بزاریم هم میشه رو دو تا بردبرد گذاشتش و ایندو حالت تو طراحی فرقی نمیکنه هر کس میتونه هر مدل خواست مونتاژ کنه . بنظر من هم بجای چند قلم قطعه onboard که بعد از یکی دوبار تست دیگه شاید بدرد نخوره همین شکل هدر برد داشتن خیلی مناسبه ..
هر قطعه ای که قراره برای آموزش تست بشه میشه با بردبرد اتصال داد . و داشتن یک چیپ قدرتمند بنظر من خیلی مهمتره از امکانات جانبی روی برد ... یکی از مهمترین موارد هم اوپن سورس بودن پروژه هست که حتما کلی کد وآموزش براش تو نت موجوده .. در ضمن اگه با این fpga کار کنیم نمیشه بگیم که با cpld نمیتونیم طراحی کنیم چون کار با cpld ده برابر راحتتره و اصلا نکته خاصی نداره . پروگرامرش هم خیلی راحتتره . و همچنین فکر میکنم اگه کار رو یه fpga مانند spartan-3 رو شروع کنیم و علاقه مند براش زیاد بشه یقینا بیشتر شدن خرید این قطعه باعث افزایش واردات و کاهش قیمتش میشه ....

mahdiksh
14-08-2013, 00:54
FPGA من موافقم ؛ Spartan 3 خوبه ، برای کار بعد میشه به هر FPGA دیگری مثل altera یا lattice استفاده کنید.
خلاصه FPGA کلی کار داره .
برنامه نویسی معمولی
برنامه نویسی روی سیستم عامل روی FPGA
خرید FPGA هایی که روشون ARM داره.
آخریشو هم نمیگم :|
خلاصه بجنبید عقبیییم

sadeghbakhshi
14-08-2013, 01:16
خب یکی از همین بردهای پاپیلو رو انتخاب کنیم برای چاپ
که تازه اول راهیم و 21 صفحه گذشته و هنوز هیچ چیزی انتخاب نکردیم و
فقط به این نتیجه رسیدیم که باید fpga کار کنیم و نظر اکثر بچه ها روی اسپارتان 3 هست
من هم درباره ی برد پاپیلو وان به آقای زارعی پیام دادم که قیمت نهاییش چقدر میشه
شاید وارد کردن این برد به صرفه تر باشه تا اینکه تولیدش کنیم
قیمتش هم زیر 38 دلار هست که بهش تخفیف هم خورده :018:

mahdiksh
14-08-2013, 01:22
این برد پاپیلو شماتیکش یک مقدار مشکوکه ؛ تو قسمت برنامه ریزی برد رو چک کنید گیر نکنه.

sigma-mx
14-08-2013, 01:52
اگه همه دوستان بیان ok بدن درباره برد و چیپ و ... رو همین تحقیق و بررسی میکنیم و بالاخره یه تصمیمی میگیریم . با وارد کردن هم اگه تا حدود 100 در بیاد موافقم . برای اورجینال می ارزه .

hossein1387
14-08-2013, 02:01
من یک برد Papilio خریدم هر وقت به دستم رسید میام دربارش اینجا میگم.

sigma-mx
14-08-2013, 02:28
بسیار عالی ، بی صبرانه منتظریم . با این کار به خیلی از سوالها جواب داده میشه . از همه چیزش مطمئن میشیم ...

at91sam7x256
14-08-2013, 16:20
اگه همه دوستان بیان ok بدن درباره برد و چیپ و ... رو همین تحقیق و بررسی میکنیم و بالاخره یه تصمیمی میگیریم . با وارد کردن هم اگه تا حدود 100 در بیاد موافقم . برای اورجینال می ارزه .

اقا موافقم . شروع کنیم دیگه 21 صفحه شد .کش ندیم
مسئول سایت پیگیری کنه قیمت نهایی برد چند میشه ؟؟ هر کی متقاضیه سفارش بده ببینین به حد نصاب میرسه برا سفارش یا نه ؟ شاید این همه مراجعه به این تاپیک و پیام موقع سفارش شاید شد 5 نفر پس وقت تلف نکنیم . اقای زارعی بررسی کنن قیمت رو اعلام کنن. خوبه ؟؟

از این برد جمع و جور و مناسب هم گیر نمیاد یا باید 500 600 بدی یه برد اموزشی کامل بگبری که شاید از همه تجهیزاتش استفاده نکنی یا این هدر بورد که هر چی لازم بود کم کم اضافه میشه .
spartan 3 هم خوبه ولی اگه spartan 6 بشه بهتر .
یا علی
موفق باشید

hossein1387
16-08-2013, 09:29
هنوز برد دستم نرسیده ولی کی برد خوب و کم قیمت دیگه هم توی اینترنت دیدم. ساخت شرکت Avnet. از Spartan3A استفاده کردند. روی خود برد یک JTAG برای برنامه ریزی موجود هست و از طریق پورت USB و درایوری که روی کامپیوتر هست میتونیم به برد وصل بشیم قیمت هم 49$ هست:


متاسفانه من تقریبا نت رو شخم زدم! ولی نتونستم یک جا پیدا کنم که برد رو بفروشه. این هم آدرس وب سایتی که در موردش توضیح داده:
$49 FPGA development board | Dangerous Prototypes (You can see links before reply)

skiboys
16-08-2013, 16:47
به نظر منم ار همین اولش روی fpga کار کنیم ارزش داره چون بیشتر بچه ها نمی خواهند که فقط کار آموزشی انجام بدهند که بگویم از هرجا می شه از هزینه ها بزنیم به نظر من در این موارد هرچه خرج کنیم به عنوان سرمایه گذاری باید به ان نگاه کنیم که چند وقت دیگر می توانیم در کارهایمان از fpga که خرج کردیم استفاده کنیم و در آینده سودش را می بریم (البته این به این معنا نیست که با CPLD نمی شه کاهای خوب انجام داد ولی با FPGA با یک تیر دو نشون می زنیم)
این نظر سنجی چی شد پس !!!! حتما باید خود آقای طبسی که این تاپیک را باز کردن نظر سنجی قرار بدهند؟ اقا مرتضی و بقیه مدیرانی که این دسترسی را دارند لطفا نظر سنجی را قرار بدهند که بیشتر از این کش ندهیم

sadeghbakhshi
17-08-2013, 19:52
سلام دوستان :hi:
یه خبر خوب دارم
یه جورایی با دست پر برگشتم :o
یک برد اپن سورس پیدا کردم که
1.خب اپن سورس هست :mrgreen:
2.اسپارتان 3 روش قرار میگیره
3.یه فلش داره + یه حافظه ی جانبی دیگر
4.دو ردیف بیشتر پایه نداره
5.مستقیم میشه روی برد نصبش کرد
6.تو جیب هم جا میشه ولی نمیدونم برد FPGA رو توی جیب میزارند که چی بشه
و....
این هم عکسش
اگر مورد پسند هست بفرمایید من فایل پی سی بی اش هم اپلود کنم :0013:
You can see links before reply
You can see links before reply

test00
17-08-2013, 20:43
با سلام :hi:
عالی دوست عزیز اگر میشه فایل pcb هم بزارید که دیگه با سخت افزارم کار کنیم ..

sigma-mx
17-08-2013, 20:47
فکر کنم بدک نباشه
با اینکه خیلی از پایه ها بخاطر ارتباط با فلش مصرف شده ولی فکر کنم کافی باشه .... باید مشخصات بیشتری ازش دید ...

esisafa
17-08-2013, 21:22
چیز خوبی هست و روی بردبورد استفاده شدنش عالیه ولی صرفا اینکه کوچیکه مهم نیست.
اینکه حافظه ی فلش داره که طبیعیه. اصلا fpga بدون فلش نمیشه. با خاموش شدن پاک میشه نه؟
40 تا پایه ی آزاد داره. و آیا با usb پروگرم میشه؟
آدرس سایتش چیه؟
در ضمن فایل pcb بدرد نمیخوره چون قطعا بالاتر از 2 لایه هست شاید 4 لایه

sadeghbakhshi
17-08-2013, 21:54
من نمیتونم آپلودش کنم :sa:
حجم فایلش بیشتر از حجم مجاز هست :x
یه ادرس بهم بدید اونجا آپلود کنم

esisafa
17-08-2013, 22:02
برو سایت پرشین گیگ مجانی عضو شو و این فایلها رو اونجا آپلود کن و اینجا لینک بده

sadeghbakhshi
18-08-2013, 00:48
خب دوستان شما میتونید فایل شماتیک PCB و یوزرمنوال رو از ادرس زیر دانلود کنید
پرشین گیگ - دانلود - brd_XuLA_12172010.zip (You can see links before reply)
:0013:
ما هم بی صبرانه منتظر انتقادات و تحلییل های دوستان کار ازموده هستیم :mrgreen:
فایل PCB با ایگل طراحی شده من هم تا به حال ایگل کار نکردم ولی مشهوده که
حداکثر دولایه هست
دوستان با تجربه یه نگاهی بهش بندازند

mahdiksh
18-08-2013, 01:27
به نظرم شماتیک اصلیش داخل این فایل ها نیست !
برد های قبلی که گذاشتم اینترفیس های مناسبی داشتن ولی این داخل این یک میکروکنترلر گذاشته که داستان میشه در تهایت.
در مورد شماتیک هم fpga شماتیک خاصی نمیخواهد همه چیز رو به هم وصل میکنید و موقع تعریف کردن برنامه یه کم کار داره.
بردهای مختلف توی این زمینه تقریبا همشون یک چیز هستند ولی قسمت برنامه ریزیشون مهمه که این برد به نظرم خوب نیست.

mahdiksh
18-08-2013, 01:37
این قسمت رو هم یاد رفته بنویسم.
برای ما که PCB دولایه میزنیم. FPGA یک مزیتش اینه که ما میتوانیم هر پایه را به جای پایه دیگر استفاده کنیم.
این موضوع موقع طراحی PCB ساخت PCB را ساده میکند تا بتوان هر پایه را به نزدیکترین پین وصل کرد و مانند میکروکنترلر ها یک ترک را خیلی دور ندهیم.
برای همین گیر شماتیک نباشید چون به احتمال زیاد شماتیک موقع کار عوض میشه :018:
این قابلیت رو هم altium به pin swapping میشناسه.

esisafa
18-08-2013, 01:47
با حافظه ی ramی که داره یک قابلیت اساسی به بورد اضافه میکنه و اون نوشتن برنامه هایی هست که باید پردازش روی داده های زیادی انجام بدن. مثلا طراحی یک فیلتر به حافظه ی رم نیاز نداره ولی پردازش تصویر یکی از نیازهای اساسی که داره حافظه ی رم هست

یک سر به سایتش که بزنید میبینید که firmware میکروی بورد که یک میکروی PIC 18F14K50 هست و بوت لودر اون موجوده. در واقع پروژه ی اپن سورس پروگرمر جیتگ موجوده که یک مبدل usb2lpt هست

در هر صورت میشه در تاپیک پروگرمر، روی این پروگرمر اپن سورس که فقط یک میکرو هست بحث کنیم و از همین استفاده کنیم

سایت You can see links before reply

sadeghbakhshi
18-08-2013, 02:04
اگر اشتباه نکنم توی سایتش در مورد نوشتن الگوریتم های dsp هم یه چیزهایی بود

esisafa
18-08-2013, 02:20
حتی از این بورد هم استفاده نکنیم، در سایتش اونقدر چیز خوب و اپن سورس مثل همین پروگرمر و حتی آموزش هست که نباید بیخیالش بشیم.
بله برای dsp یکی از نیازها حافظه ی رم هست.

sadeghbakhshi
18-08-2013, 20:33
احساس میکنم که بچه ها قصد ندارند ادامه بدهند
اکثر بچه ها هم موندن بیرون گود و فقط نظاره گر شده اند
منتظرند که یه لقمه ی چرب حاظر و اماده گیر بیاد و بخورند
23 صفحه گذشته هیچکار مفیدی نکردیم
اگر قرار هست همین طوری پیش بریم به هیچ جایی نمیرسیم
یه جورایی شدند مثل قوم بنی اسرائیل
هر کسی که می خواهد کار کنه بیاد جلو ببینیم چند چند هستیم :x

mzarkoob
18-08-2013, 20:37
احساس میکنم که بچه ها قصد ندارند ادامه بدهند
اکثر بچه ها هم موندن بیرون گود و فقط نظاره گر شده اند
منتظرند که یه لقمه ی چرب حاظر و اماده گیر بیاد و بخورند
هر کسی که می خواهد کار کنه بیاد جلو ببینیم چند چند هستیم :x
سلام
در زمینه طراحی برد چون تخصص ندارم قطعا نمی تونم نظر بدهم
خیلی وقت کنم در زمینه نرم افزار
چه کاری از عهده من برمیاد الان!؟

hossein1387
18-08-2013, 20:48
انشاالله تا فردا برد Papilio به دستم میرسه و من هرچه سریعتر در موردش میام توضیح میدم.

sadeghbakhshi
18-08-2013, 21:00
از همون اول هم نمی خواستیم که بردی طراحی کنیم
حداقل 2تا دونه نظر انتقاد یه چیزی بگید
اگر رد میکنید چرا رد میکنید؟
نقطه ی ضعف بردها کجاست؟
بعد ما می خواهیم یاد بگیریم نه اینکه یه برد خیلی قوی با قیمت پایین جور کنیم
قرار نیست که رادار طراحی کنیم
4تا چیز یاد میگیریم بعد هر کسی میره اطلاعاتش رو بسط میده و
به اشتراک میزاریم

test00
18-08-2013, 21:48
با سلام:hi:
دوستان راسش من مدام پیگیر این تایپیک هستم
اما چون اطلاعاتی از fpga ندارم برای همین سکوت میکنم
واقعیتش من هنوز نتونستم یه برد مناسب انتخاب کنم آخه دوستان هر کسی میاد و یه برد معرفی کنه،اگر روی یه برد به نتیجه برسیم و دیگه این همه معرفی نباشه بهتره. %80 درصد تایپیک شده معرفی برد که یکی معرفی میکنه و یکی دیگه میاد میگه کامل نیست و غیره ( البته نظر همه دوستان محترم )اما اگر بخایم اینجوری پیش بریم کار بجایی نمیرسیم و افرادی هم که قصد دارند کار کنند و آموزش بدن آخرش سرد میشن و میرن
یه برد معرفی کنیم با امکانات متوسط و سریعا شروع بکار کنیم . . .
افراد صاحب نظر کمک کنند و یه برد متوسط معرفی کنند تا هر چه زودتر شرع بکار کنیم ( انشالا که جلوتر رفتیم دوستان مایل بودند بردهای با امکانات بیشتر وبهتر استفاده میکنیم )
ممنون از همگی:018:

sigma-mx
18-08-2013, 21:51
مهندس اونقدر هام وقتمون رو داریم اینجا تلف نمیکنیم . می خوایم به بهترین جواب برسیم . دو تا برد حالا داریم . این برد آقای عسکری برسه ، بهرحال ایشون از نزدیک برد رو میبینند و یکی دوتا برنامه توش پروگرام میکنند ونظر میدند . در مورد وارد کردن یکی از این بردها هم قرار شد با آقای زارعی صحبت کنیم که اگه میصرفه که خیلی بهتره آماده بگیریم و درگیر ساخت نشیم .. شما هم نگران نباش ، کسی از ادامه کار پشیمون نمیشه ! لا اقل فقط ما چند نفر که داریم اینجا پست میدیم ..

hossein1387
18-08-2013, 21:53
من برد Papilio رو با Logicstart MegaWing خریدم. به نظر من این Wing های Papilio یکم گرون هستند. مثلا همین Logicstart قیمتش 48 دلار هست! 1 دونه ADC هشت کانالاه داره. 4 تا سگمنت یک DAC دلاتا سیگما 8 تا کلید و 8 تا LED ، یک پورت VGA و یک Joystick هم داره. این سایت شرکت Gadget Factory یک PDF آموزشی برای آموزش خود برد و زبان VHDL داره که من تقریبا نصفش رو خوندم. به نظرم خوب توضیح داده:
You can see links before reply

حالا یک نگاهی به این آموزش بندازین ببینین چه جوری هست.

jonbakhsh
18-08-2013, 22:55
من با همون cpld کار میکنم بهتره . fpga فقط گیت هاش بیشتره . امکانات اضافی هم توی ورتکس به بالا خوبه.
تازه من قبلا 2 تا fpga چند تائی cpld توی پروگرام کردن خراب کردم .
یه مشکل این بود که باید حتما بیت 4 تا سیمی که برای پروگرام کردن استفاده میشه یک خط زمین باشه .
اگه این رعایت نشه توی پروگرام کردن چند تائی خطا میده (ولی پروگرام میشه ) و یک جائی دیگه اصلا پروگرام نمیشه . یا مثلا نوع بافرهای استفاده شده هم برام مشکل ساز شد .

sadeghbakhshi
18-08-2013, 23:30
آقای عسگری حق باشماست قیمت بردهای WING خیلی زیاد هست حتی Arcod هم گرونه البته به نظر من
ولی خوبی پاپیلیو در این هست که اکثر شماتیک این بردها رو قرار داده و خیلی راحت می تونیم خودمون درستشون کنیم حتی برخی از اینهارو خیلی راحت میشه روی ویربرد پیاده سازی کرد و بسته به نیازمون تهیه
کنیم

من با همون cpld کار میکنم بهتره . fpga فقط گیت هاش بیشتره . امکانات اضافی هم توی ورتکس به بالا خوبه.
تازه من قبلا 2 تا fpga چند تائی cpld توی پروگرام کردن خراب کردم .
یه مشکل این بود که باید حتما بیت 4 تا سیمی که برای پروگرام کردن استفاده میشه یک خط زمین باشه .
اگه این رعایت نشه توی پروگرام کردن چند تائی خطا میده (ولی پروگرام میشه ) و یک جائی دیگه اصلا پروگرام نمیشه . یا مثلا نوع بافرهای استفاده شده هم برام مشکل ساز شد .

خب آقای جانبخش این چیزی که شما گفتید بر اثر کار کردن با FPGA و کسب تجربه بدست اومده
الان یاد بگیریم خیلی بهتر هست تا اینکه بریم یه جایی و یه گاف بزرگ بدیم

mahdiksh
19-08-2013, 00:06
اگر به همین صورت پیش بریم به آرم های داخل FPGA می رسید ولی هنوز یک برد معمولیش رو هم راه ننداختیم.
من با چند سری FPGA کار کردم ولی اگر وسیله درست باشه ، هیچ مشکلی پیش نمیاد. بعد بالا آمدن میشه verify کرد و حتی encrypt کرد و ... .
به نظر من برای کارهایی که در ایران داریم spartan 3 جواب میده و بعدا میشه به سمت spartan 6 رفت.

نظر کلی من هم راه انداختن یک پروژه درست حسابی logic analyzer هست که با اسپارتان 3 راحت راه می افته.
ولی این بخش ها رو میدونم که :
ساختن ضرب کننده های float va double معمولا جای زیادی از FPGA میگیره؛ برای همین برای پردازش سیگنال جای زیاد میخواهد. کم کم SP6 .
برای پردازش تصویر هم با SP3 خیلی کار های ساده راه خواهد افتاد. SP6 برای خیلی الگوریتم ها لازم هست.
با SP3 مبدل پروتکل های درست حسابی میشه در آورد؛ مثلا شبکه به سریال.
برای بالا آمدن سیستم عامل ؛ SP3 واقعا کمه.

jonbakhsh
19-08-2013, 00:33
خوب من شروع میکنم . این جوری که من فهمیدم با ft245 میشه پروگرام کرد چون توی برد هائی که دوستان گزاشته بودند از ft245 استفاده کرده بودند فقط اگه یک شماتیک از خروجی های ft235 به fpga به من بدید کار تمامه. من میتونم برد کوچک طراحی کنم
یا میشه این کارو کرد که خیلی راحته
You can see links before reply
این برد ببینید مال arm stm هستش که توی فروشگاه هست . فکر کنم میشه برد fpga جوری طراحی کرد که به جای برد stm قرار بگیره یا یه چیزی توی این مایه .

mahdiksh
19-08-2013, 00:48
You can see links before reply
این به نظرم درست هست. انشالا قسمت برنامه خاصی رو برد نباشه که کار پروگرم رو سخت کنه.

engineer1
20-08-2013, 02:07
سلام راستش من یه مدت درگیر کار رو یه سایت کاملم درمورد چیزایی که خودم بلدم
یه وب درست کردمو تمام مطالب وبای قبلمو قرار دادم اونجا
ببخشید یه مدت نتونستم اینجا سربزنم حالا دیگه اصلا نمیدونم بحث تا کجاها رفته
فقط یه رو باید وقت بزارم بخونم بچه ها تا حالا چیکار کردن:hi:

yusef110
20-08-2013, 03:07
دوستان سریع تر یکی رو انتخاب کنید که 1 ماه از تابستون بیشتر مونده .

hossein1387
20-08-2013, 03:57
متاسفانه هنوز برد به دستم نرسیده. میخواین با ModelSim یک چند تا Simulation ساده بزارم؟ فقط برای شروع!

engineer1
20-08-2013, 10:37
راستش من زیاد با زبان سیستم سی کار نکردم راستش اصلا کار نکردم
کلا فقط با وری لوگ کار کردم درمورد وی اچ دی الم مطالعه داشتم
الانم اگه از من بپرسید که همون وری لوگ رو بیشتر ترجیح میدم
چون هم نسبتا ساده تره و منابع آموزشیشم نسبتا فراوونه
بازم بقیه بچه ها میدونن هرطور همگی تصمیم بگیرن
من جدیدا بیشتر رو وبم دارم کار میکنم ولی قول میدم هرچی بلد بودمم اینجا برای کمک به شما عزیزان و خودم انجام بدم
به وب منم سربزنیدو تو هرقسمتی که میتونید شروع کنید به فعالیت
اگه انجمنیم که شما میتونید توش فعالیت کنید وجود نداشت تو قسمت نظرات بگید تا اون انجمنو راه بندازم:hi:

Doloop
20-08-2013, 21:18
آقایون بنده امروز یه آماری از قیمت این اسپارتان 3 گرفتم :

من فقط دوتا فروشگاه غیر از پایا پیدا کردم که اسپارتان 3S400 داشتن

قیمت جوان 144 پین 43000 تومن 208 پین 61000 تومن

قیمت اون یکی 75000 تومن و اختلاف قیمت اونقدر زیاد بود که دیگه پشیمون شدم بپرسم چند پینه ؟
که فکرکنم طرف مال این دوره زمونه نبود !؟!؟!

در مورد تخفیف هم صحبت کردم جوان هیچ تخفیفی نداد و اون یکی هم گفت اگه هفت هشتا ببری یکی هزار تومن تخفیف میدم :mrgreen:

اون یکی (فروشگاه یونیک الکترونیک) عباسیان زیر همکف ...

hossein1387
20-08-2013, 21:41
راستش من زیاد با زبان سیستم سی کار نکردم راستش اصلا کار نکردم
کلا فقط با وری لوگ کار کردم درمورد وی اچ دی الم مطالعه داشتم
الانم اگه از من بپرسید که همون وری لوگ رو بیشتر ترجیح میدم
چون هم نسبتا ساده تره و منابع آموزشیشم نسبتا فراوونه
بازم بقیه بچه ها میدونن هرطور همگی تصمیم بگیرن
من جدیدا بیشتر رو وبم دارم کار میکنم ولی قول میدم هرچی بلد بودمم اینجا برای کمک به شما عزیزان و خودم انجام بدم
به وب منم سربزنیدو تو هرقسمتی که میتونید شروع کنید به فعالیت
اگه انجمنیم که شما میتونید توش فعالیت کنید وجود نداشت تو قسمت نظرات بگید تا اون انجمنو راه بندازم:hi:

مهندس اصلا SystemC رو دیگه بیخیال. تا جایی که من اطلاع دارم همه موافق بودن که با VHDL کار کنیم درسته؟؟؟ من میگم تا وقتی که برد قطعی میشه یکم با ModelSIM کار کنیم تا با زبون VHDL آشنا بشیم. حالا نه لزوما توی این تاپیک. یک تاپیک جدید باز کنیم و اونجا یکم VHDL کار کنیم.

skiboys
20-08-2013, 23:18
یعنی الان بحث برد به نتیجه رسید که دوستان رفتن سراغ برنامه نویسی و انتخاب نوع آن؟
جناب hossein1387 شما برد Papilio از کجا و چقدر خریدید؟
در مورد زبان برنامه نویسی هم بهتره نظر سنجی بشود ولی به نظر منSystemC فعلا نیاز نیست و بین VHDLوverilog هم به نظر من VHDL گزینه بهتری هست(البته این بخش سلیقه ای هست وverilog آسون تره ولی سابقه VHDL خیلی بیشتره و دیدش سخت افزاری تره)
در مورد شبیه سازی باModelsim هم من موافقم سال گذشته زمانی که با این نرم افزار کار می کردم یک PDF درست کردم برای آنها که اشنایی ندارند و برای شروع کار مفیده (البته خودم در حد شبیه سازی کار کردم و وقت نشد وارد مراحل عملی بشم که انشا الله با کمک دوستان و اساتید وارد این مرحله هم می شیم:wink:)
من یک پیشنهادی دارم برای اینکه روند این تاپیک به هم نریزه و هر کسی به یک سمتی نره هرچه زودتر یک نفر مسئولیت نظارت را برعهده بگیره و این شخص ترجیحا کسی باشه که می تونه زیاد به سایت سر بزنه و نزاره به بی راهه بریم و تا یک موضوع تمام نشده وارد بخش دیگر نشویم چطوره؟

hossein1387
21-08-2013, 00:17
من برد Papilio رو با یک سری برد دیگه خریدم و قراره یک نفر برام بیاره. قیمت خود برد به تنهایی حدود 49 دلار شد. فکر کنم تا اوایل هفته آینده به دستم برسه. هر وقت که برد به دستم رسید من یک آموزش توی سایت در مورد برد میزارم.

hossein1387
24-08-2013, 06:31
خوب یالاخره برد به دستم رسید! :o
من توی همین تاپیک در مورد برد توضیح میدم.

اول از همه اینکه برد قیمتش کم شد و به 37.99$ رسید. قیمت Wing هم کم شد و به 39.99$ رسید.
چیزی که حالم رو خیلی گرفت این بود که بسته ای که برد توش بود فقط و فقط شامل خود برد بود! هیچ چیز دیگه ای داخل بسته نبود نه CD نه حتی یک کابل USB هیچی!

برد شامل یک FT2232D هست که میتونه 2 تا پورت COM توی کامپیوتر تعریف کنه. از این دوتا پورت یکی برای برنامه ریزی FPGA و FLASH خارجی هست، و اون یکی پورت COM برای ارتباط سریال تعبیه شده. که در ادامه در مورد هر دوتاشون توضیح میدم.
FPGA که روی برد هست برنامه ریزی نشده و خالی هست بنابراین بار اول که برد رو وصل میکنید هیچ اتفاق خاصی نمیفته! وقتی که برد رو وصل کردید، ویندوز شروع به نصب درایور های رابط سریال میکنه. من که ویندوز 7 64 بیتی دارم و به راحتی درایور نصب شد و احتمالا اگر مشکلی هم باشه توی سایت خود FTDI میتونین درایور مورد نظر رو پیدا کنید.
بعد از اینکه درایور نصب شد باید نرم افزار پروگرامر رو هم دانلود کنید( از سایت زیر):
Papilio Loader GUI - Gadget Factory Forum (You can see links before reply)
توی سایت بالا دو نسخه هست یکی با پکیج های JAVA و یکی بدون اون. هر کدوم رو که فکر میکنید مناسب هست رو نصب کنید ( من بدون JAVA رو نصب کردم که 8 مگ بود ولی بعد مجبور شدم JAVA رو آپدیت کنم!) نصب نرم افزار هیچ نکته خاصی نداره و به راحتی نصب میشه. چون نرمافزار تحت JAVA هست ممکنه هنگام اجرا اخطار بده که باید فلان پکیج JAVA رو نصب کنید که بزارید ویندوز کار خودش رو انجام بده.
خوب تا اینجا هم نرم افزار پروگرامر رو نصب کردیم و هم درایور برد رو. حالا باید ببینیم برد رو میتونیم پروگرام کنیم یا نه.
خود شرکت Gadget Factory یک فایل .bit برای شروع روی سایتش قرار داده که من پیوست کردم و از سایت زیر هم میشه اون رو دانلود کرد( از قسمت Quick Links با توجه به نوع تراشه فایل .bin رو میتونین دانلود کنین):
Papilio platform - Getting Started (You can see links before reply)

همونطور که گفتم روی برد یک فلش خارجی موجود هست. این فایل .bin هم میتونه داخل فلش ریخته بشه و هم داخل خود FPGA فرقشون رو هم خودتون بهتر از من میدونید دیگه که اگر روی FPGA ریخته بشه با قطع برق برنامه هم پاک میشه ولی روی فلش برنامه باقی میمونه. طبق عکس زیر میتونین فلش خارجی یا FPGA رو پروگرام کنید:
You can see links before reply

سرعت پروگرام کردن FPGA به نسبت فلش خیلی بهتره. در مقایسه با Xilinx Cable من خیلی تفاوتی ندیدم . ولی خوب حجم این برنامه خیلی کم بود و برای مقایسه اصلا مناسب نیست.
اگر مراحل رو تا اینجا به درستی انجام داده باشین و FPGA درست پروگرام شده باشه، باید چند سری از پایه های FPGA شروع به روشن خاموش شدن بکنه و کد های ASCII از طریق پورت COM که اول توضیح دادم ارسال میشه:

You can see links before reply


در پست بعدی یک کد VHDL ساده مینویسم. این هم چند تا عکس از برد:


You can see links before reply
You can see links before reply

فایل شماتیک برد هم پیوست شده

hossein1387
24-08-2013, 10:23
خوب حالا یک مثال ساده رو با هم بررسی کنیم. این قسمت رو برای آموزش VHDL نمیگم بلکه برای آشنایی با روند سنتز کردن کد میگم.
اول از همه نیاز به ISE داریم. همونطور که میدونید، ISE محصول شرکت Xilinx هست و شامل تعداد زیادی نرم افزار جانبی میشه. ما در اینجا فقط و فقط از خود ISE Project Navigator استفاده میکنیم. تا جایی که من میدونم نسخه Web Pack نرمافزار ISE رایگان هست. با این نسخه توانایی کد نویسی کامل برای CPLD ها و محدود برای FPGA ها داریم (محدود از نظر تعداد گیت). نصب ISE مشکل خاصی نداره و به راحتی نصب میشه البته یکم طول میکشه.
کاری که میخواهیم انجام بدیم این هست:
میخواهیم یک کد VHDL بنویسیم که LED مربوط به یک Switch رو مطابق با وضعیت سویچ روشن و خاموش کنه. کد VHDL زیر رو میتونیم برای این برنامه در نظر بگیریم:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity Switches_LEDs is
Port ( switch_0 : in STD_LOGIC;
LED_0 : out STD_LOGIC;
);
end Switches_LEDs;

architecture Behavioral of Switches_LEDs is

begin
LED_0 <= switch_0;
end Behavioral;



فعلا با کد کاری نداشته باشیم.
روندی که از اینجا به بعد باید طی کنیم رو خیلی مختصر میگم:
از اونجا که داخل FPGA یک سری resource های محدود هست، کد بالا باید تبدیل به این resource ها بشه. یعنی چی حالا؟ یعنی اینکه کد بالا باید تبدیل به گیت های and,or,xor,و غیره بشه. داخل هر FPGA با توجه به خانواده اون FPGA، تعدادی از این گیت ها وجود داره. کاری که نرم افزار ISE انجام میده این هست که میاد با توجه به خانوداه IC که شما انتخاب کردید، و با توجه به resource هایی که داخل اون IC هست، کد بالا رو از سطح توصیفی/رفتاری به سطح گیت تبدیل میکنه. به این کار میگن سنتز کردن کد. این سنتز کردن کد توی هر نرمافزار synthesizer قابل انجام هست. مثلا شما با نرم افزار های شرکت Cadence و یا Synopsys هم میتونین این کار رو انجام بدید اما برای اینکه ISE این فایل رو قبول کنه یک سری کارها باید انجام بشه که بحثش اینجا نیست. بعد از اینکه کد سنتز شد، حالا باید ISE بیاد این گیت ها رو اولا توی Device انتخاب کنه (طوری که مثلا کوتاهترین سیم کشی بین ورودی خروجی انجام بشه) و سپس سیم کشی بین این گیت ها رو هم انجام بده. توی این مرحله علاوه بر فایل سنتز شده نیاز به یک فایل اصلی دیگه هم هست که با پسوند ucf شناخته میشه. در این فایل مشخص میشه که مثلا سیگنال LEDs بالا که به عنوان خروجی تعریف شده دقیقا به کدوم یک از پایه های FPGA وصل هست.
اگر هیچ مشکلی در مراحل قبل وجود نداشته باشه، بعد از این مرحله فایل .bit تولید میشه که میشه این فایل رو داخل FPGA ریخت.
خوب پس 2 تا مرحله باید انجام بدیم:
1- سنتز کردن کد
2- Implement
3- Generate Program File

این حداقل روندی هست که طی میشه تا کد VHDL تبدیل به فایل .bit بشه.

skiboys
24-08-2013, 10:27
خیلی ممنون اقا محمد حسین که اطلاعاتشو قرار دادید:mrgreen:
این برد wing جریانش چیه؟ همون برد کناری هست درسته؟

hossein1387
24-08-2013, 10:34
سلام

آره همون برد کنار برد اصلی هست. اسم دقیقش LogicStart MegaWing هست. توی خود سایت میتونین امکانات برد رو ببینین:
LogicStartMegaWing (You can see links before reply)

esisafa
24-08-2013, 11:43
ممنون آقا حسین. شما مثل اینکه ایران نیستین. کجایید که قیمت با دلار میگین؟ در نهایت چند دست ما میرسه
نرم افزار پروگرمر فقط بوردهای شرکت رو پروگرم میکنه یا بعدا خودمون هم میتونیم با آیسی های دیگه استفاده کنیم
البته در هر صورت حافظه ی فلش رو میشه پروگرم کرد. منظورم برای cpld هست

sadeghbakhshi
24-08-2013, 12:30
خب دیگه نوبتی هم باشه نوبت شکستن قلکمون رسیده :o
فقط باید یکی رو پیدا کنیم برامون وارد کنه و قیمت نهایی رو بهمون بگه
به نظر من همین اقای زارعی عزیز گزینه ی مناسبی باشه
ایشون هم میتونند برد رو پیش فروش کنند و مقداری از پول رو بگیرند
و بعد از وارد شدن تمام پول رو بگیرند :mrgreen:
البته باید خود ایشون هم اینجا حاظر بشند و بگن که میتونند این کار رو انجام بدهند یا خیر

skiboys
24-08-2013, 15:05
آقای عسکری شما با چه پرگرمری این برد را پروگرم می کنید؟ خب دوستان براورد کنند اگر خودمان بخواهیم چنین بردی بزنیم تقریبا چقدر هزینه می بره ؟به نظر من اگه هزینه ساخت این برد در داخل کمتر می شه یکی این برد طراحی کنه و در سایت به فروش برسه خیلی بهتره تا اینکه وارد کنیم

sigma-mx
24-08-2013, 15:42
برای آقای زارعی پیغام گذاشتم برد رو بررسی کنند . اگه خیلی گرون در بیاد مسلمه که بسازیم بهتره ..