PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : سوال در مورد fpga



صفحه ها : 1 [2]

hossein1387
24-08-2013, 21:06
من یکبار دیگه در مورد پروگرامر توضیح میدم:
ببینید روی برد یک آیسی FTDI هست که 2 تا پورت COM تعریف میکنه یکی برای پروگرام کردن FPGA و FLASH خارجی و یکی هم برای ارتباط سریال. در طرف کامپیوتر یک نرم افزار موجود هست که کاملا رایگان هست و من توی 2 تا پست قبل لینک دانلود براش گذاشتم. کار باهاش هم خیلی ساده هست. حالا اینکه بشه آیسی های دیگه ای رو باهاش برنامه ریزی کرد رو من نمیدونم. ولی از اونجا که این شرکت 3 تا برد اصلی داره و هر کدوم از این بردها FPGA خاص خودشون رو دارند و همه با همین نرم افزار پروگرام میشن، احتمالا نرم افزار برای بقیه FPGA ها هم قابل استفاده باشه.
بنابراین یک بار دیگه تاکید میکنم، این برد پروگرامر روی خودش داره و شما برای برنامه نویسی برد و پروگرام کردن برد فقط به خود برد احتیاج دارید و یک عدد کابل USB mini مادگی. همین!

به نظر من بهتره که برد رو خودتون طراحی کنید. چون به نظر من خیلی چیز خاصی نداره. البته اگر مقرون به صرفه باشه. حالا اگر اقای زارعی هم یک قیمت برای برد بدن خیلی خوب میشه.

sadeghbakhshi
24-08-2013, 21:50
من امروز رفته بودم جمهوری
از یکی قیمت گرفتم و گفتم 37 دلار هست
بهم گفت اگر داخل چین باشه 150 تومن دست من رو میگیره

sigma-mx
24-08-2013, 22:25
بنظر قیمت بدی نمیاد ! اگر تعداد بگیریم طرف تخفیف هم شاید بده .. ولی اگه آقای زارعی بیاره یقینا ارزونتره و ما راضی تریم :mrgreen:

sigma-mx
24-08-2013, 22:32
یه چیز برای من تو این برد هنوز واضح نیست ! درسته که برای ذخیره کد بیت باید یه نوع فلش استفاده بشه ولی من معمولا فلش موازی دیدم که به باس fpga متصل میشه . حالا اینجا از یه فلش سریال ( spi ) استفاده کرده . چطوری یه فلش spi رو fpga بدون کد و فقط سخت افزاری میشناسه ! کسی میتونه توضیح بده ؟

ضمنا آقای عسکری این برد شما کدوم چیپ روشه ؟ 250E ؟؟؟؟

esisafa
24-08-2013, 23:40
یه چیز برای من تو این برد هنوز واضح نیست ! درسته که برای ذخیره کد بیت باید یه نوع فلش استفاده بشه ولی من معمولا فلش موازی دیدم که به باس fpga متصل میشه . حالا اینجا از یه فلش سریال ( spi ) استفاده کرده . چطوری یه فلش spi رو fpga بدون کد و فقط سخت افزاری میشناسه ! کسی میتونه توضیح بده ؟
لابد بخاطر ساختار خود fpga هست که بتونه از فلش spi اطلاعات بخونه

mahdiksh
25-08-2013, 00:50
Fpga ها با خیلی از فلش ها بالا میان.
یه چند پایست که این رو تعیین میکنه ولی مطمئن نیستم که نرم افزاری بشه از چند نوع فلش مختلف بوت کرد.

sadeghbakhshi
25-08-2013, 01:10
پس چرا آقای زارعی هیچ جوابی نمیده lov:
نکنه دست به اعتصاب زده :(

hossein1387
25-08-2013, 07:18
یه چیز برای من تو این برد هنوز واضح نیست ! درسته که برای ذخیره کد بیت باید یه نوع فلش استفاده بشه ولی من معمولا فلش موازی دیدم که به باس fpga متصل میشه . حالا اینجا از یه فلش سریال ( spi ) استفاده کرده . چطوری یه فلش spi رو fpga بدون کد و فقط سخت افزاری میشناسه ! کسی میتونه توضیح بده ؟

ضمنا آقای عسکری این برد شما کدوم چیپ روشه ؟ 250e ؟؟؟؟

بردی که من دارم 250e هست.

hossein1387
26-08-2013, 12:26
مثل اینکه دیگه هیچ کس پیگیر بحث نیست؟!

mahdiksh
26-08-2013, 15:10
آخه هنوز نفهمیدم هدف چیه می خواهیم بسازیم بخریم ؛ و احیانا چکار کنیم؟

sadeghbakhshi
26-08-2013, 15:18
قرار شد آقای شریفیان از آقای زارعی قیمت بگیرند
ولی هنوز خبری نشده

kavir
26-08-2013, 15:39
سلام
از طریق دوستان من این تاپیک رو دیدم برای اینکه قضیه برد لن تایگر تکرار نشه دوستان لوازمی که نیازی دارین برای من پیام خصوصی کنید با بردها و لینکش تا بررسی کنیم
یکی به قول معروف مادر خرج باشه و هماهنگی لازم به دوستان دیگه به عمل بیاره
یا حق

Doloop
26-08-2013, 18:47
کسی این پروگرمر fpga رو ساخته (تصویر ضمیمه) ؟؟

مشکلی نداره ؟!!!

در ضمن گفته ولتاژ jtag باید 3.3 باشه ولی برای پایه های tms و tdi و tdo و ... رو مستقیم از 74ls06 گرفته که 5 ولته , یعنی برای اینا 5 ولت موردی نداره ؟!!!

sigma-mx
26-08-2013, 23:25
پروگرامر با میکروی 4550 من تو نت فقط برای سری Altera دیدم (Usb Blaster). شما مطمئنی برای Xilinx هم هست ؟!

در ضمن پین TDO ورودی هست . مشکلی نداره ...

esisafa
27-08-2013, 00:21
بحث پروگرمر بهتره در تاپیک خودش باشه
این پروگرمر ها باید firmware کنترلرشون و برنامه ی پروگرمرشون در دسترس باشه که قابل ساخت و استفاده باشه. در سایت اون هدر بورد کوچولو فکر کنم یک پروگرمر اپن سورس بود با pic . پایپیلو هم از ایسی ft2232 استفاده میکرد که میتونه به مبدل usb2jtag تبدیل بشه ولی برنامه ی پروگرمرش نمیدونم چقدر توانایی داره ولی به هر حال میتونه حافظه ی فلش spi رو پروگرم کنه و قابل استفاده با fpga های مختلف باشه

hossein1387
28-08-2013, 05:30
برد جدید Xilinx محصول شرکت Digilent به اسم Nexys4 همین هفته پیش وارد بازار شد.
قیمت 300 دلار
قیمتش مطمنا خیلی بالاست و فقط برای اطلاع رسانی دارم میگم! از اونجا که از Artix-7 روی برد استفاده شده، کاملا مقرون به صرفه هست که به جای بردهای آموزشی Spartan3, Spartan6 از این برد استفاده بشه:
Digilent Inc. - Digital Design Engineer's Source (You can see links before reply)

You can see links before reply

یک سری اطلاعات در مورد ساخت برد Papilio دارم جمع آوری میکنم که انشاالله اگر وقت کردم روی سایت قرار میدم. من چند تا پروژه خیلی ساده(ساخت تایمر!) هم با برد انجام دادم که اگر دوستان بخوان میتونم توی یک تاپیک جدا به صورت مبسوط! در موردشون توصیح بدم( بیشتر جنبه آشنایی با VHDL داره).

hossein1387
28-08-2013, 05:38
یک برد دیگه هم پیدا کردم که از یک AVR به عنوان پروگرامر Spartan6 استفاده میکنه:
Mojo (You can see links before reply)


You can see links before reply


تا جایی که من دیدم از شماتیک گرفته تا کد برنامه توی سایت قرار داره. قیمت برد هم 74$. به نظر بد نمیرسه

sadeghbakhshi
28-08-2013, 14:51
اون برد Mojo رو فکر کنم من قبلا توی همین تاپیک ارائه دادم
چون اسپارتان6 داره خیلی گرون در میاد

jonbakhsh
28-08-2013, 15:22
جیب خالی و پز عالی . فکر نکنم به جائی برسیم توی این دهه.

esisafa
28-08-2013, 21:07
عزیزان خیلی بورد معرفی نکنید. بچه ها به همون پایپیلو که ماکزیمم 150 هزار تومان در میاد میگن گرون، چه برسه به این بوردها. فعلا بررسی ها انجام بشه که پایپیلو بالاخره چی میشه. وارد میکنن یا خودمون میزنیم.
آقای زارعی گفتن که ملزومات رو به ایشون معرفی کنید تا بررسی کنن. کسی این کارو کرد؟؟؟؟

sigma-mx
28-08-2013, 23:15
بله شما درست میگن . همین papilio بهترین گزینه از هر نظره ...

بنظرم مهندس شما خودت زحمت صحبت با آقای زارعی رو بکش و هماهنگی کن . فکر نکنم چیز دیگه ای لازم باشه ، همین نسخه ای که آقای عسکری خریده (250E) رو مهندس زارعی قیمت بگیره . من دوباره سرم شلوغ شده ممکنه هر روز نتونم سر بزنم بخاطر همین شما زحمتش روبکش سریعا اقدام بشه ...

sadeghbakhshi
28-08-2013, 23:46
اصلا وقتی که همکار هست که هم از ما بزرگتره و هم تجربه اش بیشتره
ما جوجه موجه ها نباید پامون رو دراز کنیم اصلا خوب نیست :shj:
آقا احسان شما خودتون بگید خیلی بهتر هست :)

esisafa
29-08-2013, 00:20
متاسفانه در حال حاضر شهرستان هستم و حتی یک میکرو mega32 اینجا پیدا نمیشه و ترانزیستور و مقاومت و خازن هم فقط اونایی که برای تعمیرات لوازم لازمه موجوده. پس از نظر انتخاب قطعات و قیمت و این چیزا دستم بسته است.
ولی باشه به آقای زارعی پیام میدم ببینم چکار میشه کرد.

sadeghbakhshi
29-08-2013, 01:33
خدا را شکر که تهرانم
ولی همین برد خالیش هم بیاد کافیه شما اگر قطعات خواستید من میتونم براتون پست کنم :0013:

arashelec01
02-09-2013, 22:37
یعنی .....
28 صفحه مطلب این پوستو خوندم آخرش هیچی دست گیرم نشد
دمتون گرم ....

mansoory
03-09-2013, 10:24
یعنی .....
28 صفحه مطلب این پوستو خوندم آخرش هیچی دست گیرم نشد
دمتون گرم ....

ایضاً

mzarkoob
03-09-2013, 10:38
باید راننده ماشین(شایدم اتوبوس!) یکی باشه تا سرنشینان را به یک نقطه مشخص و مطلوب برساند و اگه نرسون همه سرنشینان میریزند سرش و ..... :mrgreen:

esisafa
03-09-2013, 13:19
متاسفانه کسی عهده دار تهیه ی بورد نمیشه. کاش کسانی که دسترسی دارن کمی لطف میکردن

sadeghbakhshi
03-09-2013, 14:14
خب مگه قرار نشد آقای زارعی این برد رو قیمت بگیرند و برامون وارد کنند ؟؟lov:

sigma-mx
03-09-2013, 15:52
مهندس صفامنش مگه شما پیگیر نشدید برای قیمت گرفتن از آقای زارعی ؟ من خودم هم منتظر جوابم از این تاپیک ...

Doloop
03-09-2013, 18:22
PCB پروگرمر FPGA هم کارش تمومه :wink: فقط چندتا ریزه کاریش مونده :0013:

You can see links before reply

راستی اون بوردی که طریق سریال فلش رو پروگرم میکرد و فلش هم با SPI به FPGA وصل بود رو خودمون میتونیم بزنیم ؟؟؟
هر فلشی که SPI باشه رو میشه با همون شماتیک به FPGA وصل کرد دیگه ؟؟؟ درسته ؟؟؟

esisafa
03-09-2013, 20:16
مهندس صفامنش مگه شما پیگیر نشدید برای قیمت گرفتن از آقای زارعی ؟ من خودم هم منتظر جوابم از این تاپیک ...
من لیست قطعات رو براشون پیام فرستادم ولی هنوز هیچ خبری نشده

Doloop
05-09-2013, 02:24
سلام

آقایان من این PCB رو دادم برای چاپ :wink: میتونید از این لینک (You can see links before reply) دریافت کنید ...

تصویرش رو هم ضمیمه کردم ... :0013:

تو همین پست PCB قبلی یه مشکل کوچیک داشت که اصلاح و جایگزین قبلی شد :wink:

Doloop
06-09-2013, 02:12
همونطور که گفتم روی برد یک فلش خارجی موجود هست. این فایل .bin هم میتونه داخل فلش ریخته بشه و هم داخل خود FPGA فرقشون رو هم خودتون بهتر از من میدونید دیگه که اگر روی FPGA ریخته بشه با قطع برق برنامه هم پاک میشه ولی روی فلش برنامه باقی میمونه. طبق عکس زیر میتونین فلش خارجی یا FPGA رو پروگرام کنید:
You can see links before reply image has been resized. Click this bar to view the full image. The original image is sized 632x453.You can see links before reply

سرعت پروگرام کردن FPGA به نسبت فلش خیلی بهتره. در مقایسه با Xilinx Cable من خیلی تفاوتی ندیدم . ولی خوب حجم این برنامه خیلی کم بود و برای مقایسه اصلا مناسب نیست.




دوستان در این مورد نظر خاصی ندارید ؟؟؟
بد جور رفته تو مخ من !!:mrgreen:
مثلا هر فلشی که ارتباط سریال ساپورت میکنه جواب میده ؟!!!

مثلا AT45DB642D جواب میده ؟؟؟

حجم فلش محدود باشه مشکلی داره مثلا در حد 256 کیلو بایت باشه ؟؟؟
منظورم اینه که فلش سریال حجمش کم باشه بعدا" میشه یه پارالل اضافه کرد و ازش خوند ؟؟؟

بعد آقای عسکری اون کانکتور سریال روی بوردتون برای ارتباط سریال FPGA هست یا برای پروگرم کردن فلش ؟؟؟
روی بوردتون تنها یک حافظه خارجی وجود داره ؟؟؟
و روی بورد اصلی گوشه بالا سمت چپ اون آیسی که پکیجش QFP چیه ؟؟؟

hossein1387
06-09-2013, 08:38
خوب من یک توضیح نسبتا کامل در مورد پروگرام کردن آماده کردم:
اول از همه همونطور که گفتم خوندن (ug332 که یک App note از طرف Xilinx برای پروگرام کردن Spartan3 هست) تقریبا واجبه ! تمام نکاتی که در این پست میگم همه از این PDF هستند.
پیکربندی FPGA از 2 طریق انجام میشه. یا اینکه خود FPGA پیکربندی خودش رو انجام میده (حالت MASTER)و یا اینکه یک وسیله خارجی(مثل یک پروسسور دیگه) این پیکربندی رو برای FPGA انجام میده (حالت SLAVE). برای اینکه FPGA توی هر کدوم از این مد ها قرار بگیره یک سری پایه خارجی وجود دار(دقیقا مثل Boot کردن میکرو) در ادامه توضیح میدم که کدوم پایه ها برای این کار استفاده میشن.
فعلا با حالت Slave کاری نداشته باشیم. برای اینکه این مدها رو تنظیم کنیم چند تا پایه مشخص برای FPGA در نظر گرفته شده که اونها رو من در ادامه توضیح میدم.
در حالت Master دیتا رو به دو صورت میشه به FPGA انتقال داد:
1- Byte-wide
2- Serial
در شکل زیر حالت های متفاوت هر کدوم از این مد ها رو میتونید ببینید:You can see links before reply



در ادامه برای اینکه بهتر بتونم توضیح بدم از نقشه برد Papilio استفاده میکنیم.( میتونید نقشه برد رو ازاین لینک دریافت کنید: Papilio One Hardware (You can see links before reply) )
همونطور که در نقشه برد میبینید، از یک فلش خارجی در برد استفاده شده. ولی این به این معنا نیست که برای پیکربندی FPGA باید فلش خارجی استفاده بشه. همونطور که در شکل بالا میبینید، خود FPGA میتونه پیکربندی خودش رو نگه داره. ولی این حافظه داخلی به صورت Volatile هست به این معنا که اطلاعات بعد از خاموش شدن FPGA از دست میره. برای همین هست که هنگام پروگرام کردن بردهای آموزشی FPGA چه از iMpact استفاده بشه و چه از یک نرم افزار دیگه همیشه 2 تا option هنگام پیکربندی وجود داره(البته اگر فلش خارجی روی برد باشه) یکی پروگرام کردن خود FPGA هست و یکی هم پروگرام کردن فلش خارجی هست.
برای استفاده از فلش خارجی گزینه های خیلی زیادی وجود داره. با توجه به جدول1-1 ug332 برای پیکربندی در حالت Master چهار گزینه برای انتخاب حافظه خارجی وجود داره:
You can see links before reply

توی مثال ما(برد Papilio) از حالت چهارم استفاده شده. یعنی از یک فلش سریال خارجی به عنوان حافظه استفاده شده. حالا از کجا میشه فهمید که توی کدوم حالت هست؟ اگر فصل 4 فایل ug332 رو بخونید به شکل زیر میرسید:
You can see links before reply


همونطور که میبینید، برای قرار گرفتن در این مد، باید پایه های M0,M1,M2 و VS0,VS1,VS2 به صورت بالا تنظیم شوند. از طرفی اگر به شماتیک برد Papilio نگاه کنید، همین تنظیمات برای برد در نظر گرفته شده:
You can see links before reply



پس اگر یک جمع بندی تا اینجا بکنم:
1- برد Papilio رو دوجور میشه پیکربندی کرد. یا کدهای پیکربندی داخل فلش داخلی FPGA قرار میگیرند و یا داخل یک حافظه خارجی قرار دارند.
2- هر دوحالت بالا جز مد Master قرار دارند.

برای ادامه بحث من چندتا سوال جواب قرار دادم که فکر کنم جواب سوال خیلی ها باشه:

آیا از هر فلش خارجی با هر مقدار حافظه میشه استفاده کرد؟
جواب این سوال داخل فایل ug332 داده شده.
همونطور که میدونید،یکی از وظایف نرم افزار iMPACT این هست که پیکربندی FPGA رو انجام بده. ولی طبق فایل ug332 این نرم افزار فقط تعداد معدودی فلش خارجی رو ساپورت میکنه:
You can see links before reply

تا جایی که یادم میاد برای خانواده Spart3E به توصیه خود Xilinx، حداقل 512KB حافظه خارجی احتیاج هست. توی جدول صفحه 105 هم حداقل همین مقدار ذکر شده. در برد papilio از هیچ کدوم از فلش های بالا استفاده نشده و از یک فلش 4MB محصول SST استفاده شده. این به این معناست که احتمالا iMPACT نمیتونه این فلش رو شناسایی کنه. ولی من امتحان نکردم.

2- اگر FPGA خودش میتونه ارتباط SPI با حافظه خارجی برقرار کنه، پس چرا Core برای ارتباط SPI وجود داره؟ آیا اصلا ارتباط SPI از قبل داخل FPGA طراحی شده؟


من خودم فکر میکردم که این طور هست، ولی هنگامی که توی Forum سایت Papilio میگشتم، به یک بحث جالب رسید که خود سازنده برد در این مورد توضیح داده بود. ایشون گفته بود که برای انتقال پیکربندی به فلش خارجی، نرم افزار Papilio Loader ابتدا یک کد برای ارتباط SPI داخل FPGA قرار میده. سپس کد های پیکربندی FPGA رو از طریق همین ارتباط SPI ایجاد شده به داخل فلش خارجی انتقال میده. حالا هنگام روشن شدن FPGA چون به حالت Master SPI قرارا داده شده، خودش کدها رو از حافظه میخونه و پیکربندی رو انجام میده.

برا من این کار خیلی عجیب هست و خودمم در این مورد سوال دارم که اگر به جواب رسیدم اینجا قرار میدم.

3- این کد ارتباط SPI چه جوری داخل FPGA بارگذاری میشه؟

کلید اصلی این برد آیسی FT2232 هست. طبق گفته دیتاشیت، این آیسی میتونه یک پورت ارتباط JTAG مخصوص پروگرام کردن ایجاد کنه. اگر به شماتیک برد یک نگاه کنید میبینید که پایه های TDI و TDO این آیسی به FPGA وصل شدن که صحت حرف بالا رو میرسونه

4- ایا میشه از این فلش خارجی به عنوان یک حافظه خارجی استفاده کرد؟

بله.
جواب این سوال داخل فروم Papilio داده شده. برای اینکار شما احتیاج دارید که کد ارتباط SPI رو هم بنویسید که خیلی ساده هست.

مطمنا هنوز خیلی نکته برای ساخت برد وجود داره من هرچی به ذهنم رسید اینجا قرار دادم.
یک نکته پایانی هم بگم، هسته اصلی این نرم افزار Papilio Loader براساس یک پروژه دیگه به اسم XC3SPROG نوشته شده که برای توضیحات بیشتر به سایت زیر مراجعه کنید:
xc3sprog (You can see links before reply)

hossein1387
06-09-2013, 08:43
دوستان در این مورد نظر خاصی ندارید ؟؟؟
بد جور رفته تو مخ من !!:mrgreen:
مثلا هر فلشی که ارتباط سریال ساپورت میکنه جواب میده ؟!!!

مثلا at45db642d جواب میده ؟؟؟

حجم فلش محدود باشه مشکلی داره مثلا در حد 256 کیلو بایت باشه ؟؟؟
منظورم اینه که فلش سریال حجمش کم باشه بعدا" میشه یه پارالل اضافه کرد و ازش خوند ؟؟؟

بعد آقای عسکری اون کانکتور سریال روی بوردتون برای ارتباط سریال fpga هست یا برای پروگرم کردن فلش ؟؟؟
روی بوردتون تنها یک حافظه خارجی وجود داره ؟؟؟
و روی بورد اصلی گوشه بالا سمت چپ اون آیسی که پکیجش qfp چیه ؟؟؟


سلام حسین آقا

فکر کنم جواب سوال هاتون رو داده باشم، حالا اگر سوالی بود باز درخدمتم.

hossein1387
06-09-2013, 08:47
یک نکته ای که یادم رفت درمورد برد بگم این هست که مثل اینکه قبلا همراه برد یک کابل usb داده میشد ولی خیلی ها با این کابل ها مشکل پیدا کرده بودند. حالا اگر قرار شد آقای زارعی برد رو وارد کنند حواسشون به کابل ها باشه!

esisafa
06-09-2013, 13:05
نمیشه ما مستقیما با یک پروگرمر حافظه ی فلش spi ، این حافظه رو پروگرم کنیم و بعد این حافظه رو بر روی بورد خودمون که دارای spartan3 هست و در مود master spi پیکربندی شده قرار بدیم.
با این کار فقط بحث پروگرمر spi میاد وسط و پروگرمر fpga حذف میشه و حتی برای تولید انبوه هم خیلی بهتره. ما فقط حافظه رو پروگرم میکنیم و با خود بورد کاری نداریم.
لطفا نظر بدین و درباره ی مشکلات و مزایای این ایده صحبت کنیم

sigma-mx
06-09-2013, 13:19
ممنون ، توضیحات خوبی بود ...

راستش منم فکر میکردم همینطوری باشه یعنی در واقع fpga برای بوت از مموری خارجی از یه بوت لودر استفاده میکنه ولی فقط با اینجاش مشکل دارم که خود حافظه fpga که فرار volatile هست پس چطور این بوت لودر توش ذخیره میشه و برای دفعه بعد دوباره لود میشه !!!!



نمیشه ما مستقیما با یک پروگرمر حافظه ی فلش spi ، این حافظه رو پروگرم کنیم و بعد این حافظه رو بر روی بورد خودمون که دارای spartan3 هست و در مود master spi پیکربندی شده قرار بدیم.
با این کار فقط بحث پروگرمر spi میاد وسط و پروگرمر fpga حذف میشه و حتی برای تولید انبوه هم خیلی بهتره. ما فقط حافظه رو پروگرم میکنیم و با خود بورد کاری نداریم.
لطفا نظر بدین و درباره ی مشکلات و مزایای این ایده صحبت کنیم


بنظر من شدنیه و مهمتر از اون اینه که ... میتونیم بخش jtag رو یعنی ft2232 رو بصورت یه پروگرامر جدا طراحی کنیم و رو برد ها نباشه !

esisafa
06-09-2013, 13:45
راستش منم فکر میکردم همینطوری باشه یعنی در واقع fpga برای بوت از مموری خارجی از یه بوت لودر استفاده میکنه ولی فقط با اینجاش مشکل دارم که خود حافظه fpga که فرار volatile هست پس چطور این بوت لودر توش ذخیره میشه و برای دفعه بعد دوباره لود میشه !!!!

طبق گفته ی دوستمون، ببینید پروگرمر ابتدا لودر رو روی fpga پروگرم میکنه و بعد از طریق اون لودر اطلاعات روی فلش ریخته میشن. وگرنه که fpga پاک میشه.
یعنی برای پروگرم کردن فلش باید یکبار خود fpga پروگرم بشه و بعد حافظه

در کل به نظرم بهتره روی اون بحث که مستقیما خود حافظه ی spi رو پروگرم کنیم یکم نظر بدیم

sigma-mx
06-09-2013, 13:57
اینو متوجه شدم . منظورم با توجه به مطلب زیر ...


2- اگر FPGA خودش میتونه ارتباط SPI با حافظه خارجی برقرار کنه، پس چرا Core برای ارتباط SPI وجود داره؟ آیا اصلا ارتباط SPI از قبل داخل FPGA طراحی شده؟


من خودم فکر میکردم که این طور هست، ولی هنگامی که توی Forum سایت Papilio میگشتم، به یک بحث جالب رسید که خود سازنده برد در این مورد توضیح داده بود. ایشون گفته بود که برای انتقال پیکربندی به فلش خارجی، نرم افزار Papilio Loader ابتدا یک کد برای ارتباط SPI داخل FPGA قرار میده. سپس کد های پیکربندی FPGA رو از طریق همین ارتباط SPI ایجاد شده به داخل فلش خارجی انتقال میده. حالا هنگام روشن شدن FPGA چون به حالت Master SPI قرارا داده شده، خودش کدها رو از حافظه میخونه و پیکربندی رو انجام میده.

برا من این کار خیلی عجیب هست و خودمم در این مورد سوال دارم که اگر به جواب رسیدم اینجا قرار میدم.

سوال من در مورد لحظه پروگرام کردن نیست بلکه در مورد دفعه بعدی هست که fpga بدون پروگرامر قراره لود بشه و کار کنه ...
یقینا با توجه به اینکه کد تو fpga ذخیره نمیشه ( بصورت دائم ) الان کد ما توی حافظه اکسترنال ریخته شده . پس الان fpga باید از طریق پروتکل spi کد رو تو رم خودش لود کنه و اجرا کنه ...

حالا برای ارتباط با spi باید fpga یه core داشته باشه !!! یا بصورت سخت افزاری توانایی اینو داره که با مموری spi ارتباط برقرار کنه !
اینکه گفته شده فقط چند نوع مموری پشتیبانی میشن به همین معنیه که fpga بصورت سخت افزاری از این مموری ها اطلاعات رو میخونه ؟

امیدوارم منظور مطلب رو رسونده باشم .

sigma-mx
06-09-2013, 14:35
جواب سوالمو خودم فهمیدم .البته آقای عسکری هم اشاره بهش کردن ولی من یه شکل دیگرش رو میگم ...

جواب سوال همون پین های کانفیگ هست که قبلا هم اشاره شد بهش .
توسط پینهای M0 الی M2 که بنام (Mode Select) هستند حالت کانفیگ مشخص میشه طبق عکس زیر :

You can see links before reply

و توسط پین های VS0 الی VS2 که بنام (Variant Select) هستند نوع مموری مورد استفاده مشخص میشه . مثلا برای spi داریم :


You can see links before reply

مشخص کردن سری و مدل مموری spi برای این مهمه چون مموری ها از لحاظ بعضی کامندها مثل کامند Read و ... و همچنین سرعت کلاک و ... با هم فرق میکنند ولی چون برای بوت fpga فقط به کامند Read احتیاج هست این مهمه بین کامند ها ...

با این تنظیمات نوع کد کامند Read انتخاب میشه و قانونا هر مموری دیگه ای که تو دیتاشیتش از این کد کامند استفاده کرده باشه معمولا باید با این روش کار کنه ...
کد های Read هم اون 3 موردی هست که تو جدول بالا مشخص شده . همچنین :

You can see links before reply

و در مورد مموری های دیگه که آقای عسکری هم اشاره کردن که تو بردPapilio از SST استفاده شده . خود شرکت گفته هر مموری که از این کامند ها پشتیبانی کنند (طبق دیتاشیت ) قابل استفاده هست و جدول زیر رو ارائه داده و گفته که اینا تست نشدند و فقط از روی دیتاشیتشون اونا رو تائید کرده ( که SST هم جزو اوناست ) :

You can see links before reply

در مورد مموری فکر کنم مموری AT45DB161 گزینه خوبی باشه . با توجه به موجود بودن و قیمت و همچنین ساپورت توسط iMPACT طبق جدول ..

یا حق

sigma-mx
06-09-2013, 14:47
در مورد ایده حذف پروگرامر هم اصلا احتیاجی به jtag نیست فکر کنم ..

یه میکرو فقط باید محتوای فایل bit رو تو مموری مثلا AT45DB161 بریزه :mrgreen:

یا حتی خنده دارترش هم بکنیم ... اصلا به میکرو هم احتیاجی نیست ! با چند پین پورت سریال هم میشه 4 تا سیگنال spi رو در آورد !!

esisafa
06-09-2013, 17:15
بله درست میفرمایید. فقط بهتره برای پروگرم کردن به هر روشی تنها از usb استفاده بشه. به نظر من همین سورس usbasp برای کار میتونه خوب باشه. البته باید پروگرمر اپن سورس حافظه ی فلش spi پیدا بشه

sadeghbakhshi
06-09-2013, 21:05
من اون زمانی که avr کار میکردم یادم هست
که از طریق پورت spi پروگرام میشد

esisafa
06-09-2013, 21:37
مثلا این لینک ها رو ببینید
Embedded Computers (You can see links before reply)
You can see links before reply
bios | Uwe Hermann (You can see links before reply)
FT2232SPI Programmer - flashrom (You can see links before reply)

در کل خیلی نمونه وجود داره

sadeghbakhshi
07-09-2013, 00:51
سلام
بچه ها این بردهای پاپیلیو چی شد؟
خبری نیست میاد نمیاد؟

Doloop
09-09-2013, 18:27
سلام

آقای عسکری این eeprom با شماره 93c46b برای چی هست ؟

نکنه نکته استفاده از این شیوه پروگرم کردن توی این باشه ؟!!!

sigma-mx
09-09-2013, 20:13
نه ، این چیپ برای اینکه که وقتی به کامپیوتر متصل بشه نام کمپانی و نام محصول رو چی بزنه ...
تو سری ft232 و .. هم همینطوره .

hossein1387
09-09-2013, 21:17
سلام

آقای عسکری این eeprom با شماره 93c46b برای چی هست ؟

نکنه نکته استفاده از این شیوه پروگرم کردن توی این باشه ؟!!!


سلام

آقای شریفیان درست میگن، توی همه سری های FT یک EEPROM توی شماتیک پیشنهادی وجود داره.

آقا هیچ خبری از برد نشد؟ من تا قبل از شروع ترم چند تا پروژه ساده آماده کرده بودم که توضیح بدم، ولی هیچ کس راغب نیست! یعنی فکر کنم همه منتظر برد هستن تا مثال ها رو روی برد هم پیاده کنند. من خودم واقعا وقت ندارم که روی ساختن برد پاپیلیو وقت بزارم ولی چند تا مثال اولیه آماده کردم به شرح زیر:
1- بلوک تاخیر Configurable بدون استفاده از DCM. در حقیقت یک کانتر خیلی خیلی سادست که برای ایجاد تاخیر به کار میره. توی پروژه های بعد ازش استفاده کردم.

2- مدار نمایش اعداد روی Sevensegment. ورودی این مدار 4 عدد BCD هست. رفرش seven segment ها قابل برنامه ریزی هست. در این مدار از بلوک تاخیر هم استفاده کردم

3- مدار فرستنده UART. این مدار 1 کد اسکی رو میتونه بفرسته. Baudrate و دیگر تنظیمات پورت UART هم قابل برنامه ریزی هست. در این مدار از بلوک تاخیر استفاده شده و از هیچ Core استفاده نکردم.

4- بلوک فرستنده UART. این مدار همون مدار قبل هست با این تفاوت که یک رشته رو میفرسته.


در این 4 تا پروژه، با وجود ساده بودن، خیلی از مسایل VHDL رو میشه یاد گرفت. من از هیچ Core آماده ای استفاده نکردم و کد ها رو برای برد Papilio نوشتم. البته با تغییر فایل ucf روی هر برد دیگه ای قابل استفاده هست.

Doloop
11-09-2013, 14:35
سلام

PCB که من تو پست های قبل برای Xilinx FPGA USB Programmer قرار داده بودم یه ایراد کوچیک داشت که اصلاح کردم :wink:

اون پست رو هم ویرایش کردم و توی این پست هم جهت اطلاع رسانی نسخه نهایی (You can see links before reply) رو مجدد قرار دادم:wink:

esisafa
11-09-2013, 14:52
سلام

pcb که من تو پست های قبل برای xilinx fpga usb programmer قرار داده بودم یه ایراد کوچیک داشت که اصلاح کردم :wink:

اون پست رو هم ویرایش کردم و توی این پست هم جهت اطلاع رسانی نسخه نهایی (You can see links before reply) رو مجدد قرار دادم:wink:
تا حالا با این پروگرمر کار کردین یا هنوز منتظر بورد هستین. نرم افزار پروگرمر چی هست؟

sadmonew
11-09-2013, 19:49
سلام

pcb که من تو پست های قبل برای xilinx fpga usb programmer قرار داده بودم یه ایراد کوچیک داشت که اصلاح کردم :wink:

اون پست رو هم ویرایش کردم و توی این پست هم جهت اطلاع رسانی نسخه نهایی (You can see links before reply) رو مجدد قرار دادم:wink:
سلام مهندس
وقت كردين پروگرمرو بسازين و تستش كنيد؟

Doloop
11-09-2013, 20:31
سلام

کل فایلش رو ضمیمه کردم البته قبلا هم قرار داده بودم :wink:

توش دوتا نرم افزار داره که یکیش برای CDC هست و یکیش هم برای HID

حالا این CDC , HID چی هست ؟؟؟

پروگرمر رو ساختم ولی الان دوتا مشکل دارم یکیش این که پروگرمر برای PIC ندارم و احتمالا از یکی از دوستان بگیرم :0013:

ولی بورد FPGA اصلا ندارم :mrgreen: تست کنم منتظرم ببینم نتیجه این تایپیک چی میشه

البته خودم هم دارم یه بوردی برای XC3S400 طراحی میکنم ولی بدلیل مشغله زیاد زمان زیادی میبره تموم شد نتیجه رو اینجا اعلام میکنم :wink:

در مورد پروگرم کردنش هم , روی بورد هم کانکتور 2*5 برای JTAG همین پروگرمری که ساختم میزارم هم اون شیوه پروگرم کردن فلش سریال رو قرار میدم :wink:

sadeghbakhshi
11-09-2013, 21:13
سلام

کل فایلش رو ضمیمه کردم البته قبلا هم قرار داده بودم :wink:

توش دوتا نرم افزار داره که یکیش برای cdc هست و یکیش هم برای hid

حالا این cdc , hid چی هست ؟؟؟

پروگرمر رو ساختم ولی الان دوتا مشکل دارم یکیش این که پروگرمر برای pic ندارم و احتمالا از یکی از دوستان بگیرم :0013:

ولی بورد fpga اصلا ندارم :mrgreen: تست کنم منتظرم ببینم نتیجه این تایپیک چی میشه

البته خودم هم دارم یه بوردی برای xc3s400 طراحی میکنم ولی بدلیل مشغله زیاد زمان زیادی میبره تموم شد نتیجه رو اینجا اعلام میکنم :wink:

در مورد پروگرم کردنش هم , روی بورد هم کانکتور 2*5 برای jtag همین پروگرمری که ساختم میزارم هم اون شیوه پروگرم کردن فلش سریال رو قرار میدم :wink:

سلام
اگر دوست داشته باشید
من هم بدم نمیاد توی طراحی برد fpga کمکتون کنم

Doloop
11-09-2013, 22:05
سلام



من هم بدم نمیاد توی طراحی برد fpga کمکتون کنم

اگه بشه عالی میشه :0013:

من با آلتیوم 13 کار میکنم چون تازه شروع کردم طراحی زیاد جلو نرفته شما اگه قسمت تغذیه رو طراحی کنید و بدید من به پروژه اضافه کنم عالی میشه :018:
فقط یکسری نکات رو هم براتون پ.خ میکنم که رعایت بشه تا دوباره کاری نشه:wink:

مشکل کتابخونه و از این قبیل که ندارید ؟؟

sadeghbakhshi
11-09-2013, 23:41
مشکل چندانی روی کتابخانه ندارم
ولی من التیوم 10 دارم lov: :(
این هم عکسش
شما دیتاشیتی از ندارید؟
You can see links before reply

Dr.hardware
04-10-2013, 22:19
سلام مهندس خواهش میکنم.

والا من قصدم این نیست که هدف اصلی این تاپیک که آقای طبسی زحمتش رو کشیده منحرف کنم. یکی از دوستان پرسیدن که در systemC چه جوری میشه کد نوشت من یک مثال براشون آماده کردم. به نظر من اگه این تاپیک بخواد موفق بشه با همون VHDL که بچه ها شروع کردن ادامه بدیم بهتره. مشکلی که systemC داره اینه که synthesizer کدش ( به صورت کرک شده) گیر نمیاد. من خیلی پیگیر این ماجرا نبودم و خوشحال میشم کسی ثابت کنه اشتباه میکنم. ولی اگر خواستین یک تاپیک جدا گونه باز کنیم و اشکال های این زبون ها رو(vhdl,verilog,systemC) از هم بپرسیم.

با تشکر از دوستان. زبان SystemVerilog رو برای کسانی که verilog کار می کنند و می خواهند امکانات قدرتمند زبانی مثل SystemC رو هم داشته باشند توصیه می کنم.

mzarkoob
04-10-2013, 22:30
با تشکر از دوستان. زبان SystemVerilog رو برای کسانی که verilog کار می کنند و می خواهند امکانات قدرتمند زبانی مثل SystemC رو هم داشته باشند توصیه می کنم.
سلام
SystemVerilog دیگه چه زبان برنامه نویسی است؟ ترکیبیه!؟ تازه اومده؟

hossein1387
04-10-2013, 23:18
سلام
SystemVerilog دیگه چه زبان برنامه نویسی است؟ ترکیبیه!؟ تازه اومده؟


System Verilog یک زبون HDL هست. هم برای Design و هم برای Functional Verification استفاده میشه. تقریبا تمام syntax های Verilog رو پشتیبانی میکنه. علاوه بر اون system verilog یک زبان شی گرا هم هست. این خصوصیت باعث میشه که پروسه Verification خیلی منعطف تر از قبل بشه.

sadeghbakhshi
15-10-2013, 02:11
دم همگی گرم
اصلا کسی یادش مونده توی این تاپیک چه خبر بود ؟
قرار بود چکار کنیم؟
نه آقای زارعی قیمت برد رو به ما گفت
نه کسی چیزی یاد داد
و نه حتی یه کتاب برای شروع معرفی شد
یعنی 32 صفحه تاپیک = -0-

hossein1387
15-10-2013, 04:44
دم همگی گرم
اصلا کسی یادش مونده توی این تاپیک چه خبر بود ؟
قرار بود چکار کنیم؟
نه آقای زارعی قیمت برد رو به ما گفت
نه کسی چیزی یاد داد
و نه حتی یه کتاب برای شروع معرفی شد
یعنی 32 صفحه تاپیک = -0-

مهندس کتاب و سایت که بهت معرفی کردم که! دوباره میگم:
WELCOME TO WORLD OF ASIC (You can see links before reply)
سایت خیلی خوبی برای یاد گیری VHDL، Verilog، SystemC و SystemVerilog هست.
این سایت به زبان اصلی هست.
ویدوهای مهندس/دکتر صدری هم توی سایت مکتب خونه هم عالی هست:
You can see links before reply

برد هم که در مورد پاپیلیو سوال داشتید من رفتم تست کردم و گفتم خیلی راحت راه اندازی شد. پروژه هم گفتم اگر میخواید بزارم و براتون توضیح بدم که حتی یک نفر هم راغب نبود! خوب چه میشه کرد دیگه!

sadeghbakhshi
15-10-2013, 15:26
قرار شده بود این برد وارد بشه ولی نشد

engineer1
06-11-2013, 22:48
البته یسری نرم افزارا هم هستن که بلوک دیاگرامای مهم و پرکاربرد رو داره و میشه اونارو به هم متصل کرد
و اون برنامه کد hdl رو به هر زبانی که بخاید بهتون بده
نرم افزارای زیادیم وجود داره برا اینکار ولی یکی از معروفتریناش active hdl هست
که لینک دانلودشو براتون میزارم
لینک دانلود (You can see links before reply(You can see links before reply).rar)

aref1
19-11-2013, 20:35
سلام دوستان ،

من یک برد با XC6SLX150 زدم و چون قبلش میخواستم با XC6SLX45CSG484-2I و یا XC6SLX45CSG324-2C بزنم
این دو تا FPGA رو دارم و همچنین چندتا چیپ DDR2 با ظرفیت 1Gb و 2Gb و رابط ( 88E1111-BAB (10/100/1000، اگر کسی خواست با اینا طراحی کنه بهم بگه با قیمت خیلی مناسب میفروشم.

sarab1
19-11-2013, 20:41
سلام دوستان ،

من یک برد با xc6slx150 زدم و چون قبلش میخواستم با xc6slx45csg484-2i و یا xc6slx45csg324-2c بزنم
این دو تا fpga رو دارم و همچنین چندتا چیپ ddr2 با ظرفیت 1gb و 2gb و رابط ( 88e1111-bab (10/100/1000، اگر کسی خواست با اینا طراحی کنه بهم بگه با قیمت خیلی مناسب میفروشم.
اتفاقا من میخام قیمت ها بر اساس کدوم دلارند؟:0013:

aref1
20-11-2013, 20:22
اتفاقا من میخام قیمت ها بر اساس کدوم دلارند؟:0013:

xc6slx45csg484-2i ( xc6slx45-2csg484i)--- 125000 t
xc6slx45csg324-2c (xc6slx45-2csg324c) --- 70000 t

sarab1
20-11-2013, 20:38
xc6slx45csg484-2i ( xc6slx45-2csg484i)--- 125000 t
xc6slx45csg324-2c (xc6slx45-2csg324c) --- 70000 t
مهندس چقدر ارزون !!!!!!!!!!!!!!!! آدم به شک میفته!!
از لحاظ اورجینال بودن تایید میکنید؟ البته ببخشید فصد جسارت ندارم فقط چون به صورت حتمی میخام بخرم ازتون میپرسم (پیام خصوصی داده بودم جواب نداده بودید!)

mzarkoob
20-11-2013, 23:29
خوب بحث این تایپیک که خوابید و به جایی نرسید الان بازار خرید و فروش توش رونق گرفته!

hossein1387
20-11-2013, 23:47
آخه مهندس هیچ کس پایه نبود! نمیدونم کسی برد تهیه کرده یا نه؟ تقریبا همه روی Papilio توافق کردیم ولی هیچ کس دیگه بعد از اون اقدامی انجام نداد.

sarab1
21-11-2013, 00:05
خوب بحث این تایپیک که خوابید و به جایی نرسید الان بازار خرید و فروش توش رونق گرفته!
انشا ... اگه فرصتی باشه خودم آموزش رو شروع میکنم من همین الان هم داشتم در مورد منابع fpga ها مطالعه میکردم سعی میکنم دوستان رو هم بی نصیب نزارم
آقای زرکوب حق باشماست مدیران در صورت امکان می توانند این چند پست را انتقال دهند.

hossein1387
21-11-2013, 00:15
به نظر من مشکل اصلی با تهیه برد هست. مثلا برای شروع کار با آرم شما میتونی بردهای متفاوت با امکانات و قیمت متفاوتی بخری. ولی برای FPGA اینطور نیست. برد ارزون قیمت (زیر 100 تومن) تقریبا وجود نداره.
مشکل بعدی کار با زبون های سخت افزاری هست. من خودم با VHDL, Verilog,SystemC و SystemVerilog کار کردم. در ابتدا یکم سخت به نظر میرسن ولی یکم که جلو برین میبینین که خیلی هم سخت نیست. قبلا هم پیشنهاد داده بود که بیایم یک تاپیک جداگانه برای حل مشکلات این زبون ها ایجاد کنیم. آموزش زبون ها توی خیلی از سایت های خارجی پیدا میشه. پس خیلی جالب نیست که ما آموزش رو هم اینجا بگیم.

aref1
21-11-2013, 10:23
مهندس چقدر ارزون !!!!!!!!!!!!!!!! آدم به شک میفته!!
از لحاظ اورجینال بودن تایید میکنید؟ البته ببخشید فصد جسارت ندارم فقط چون به صورت حتمی میخام بخرم ازتون میپرسم (پیام خصوصی داده بودم جواب نداده بودید!)

البته 100 درصد حق با شماست اگر ایران و جمهوری رو چک کرده باشید . من اینارو از اونجا نخریدم .

sarab1
21-11-2013, 12:00
آخه مهندس هیچ کس پایه نبود! نمیدونم کسی برد تهیه کرده یا نه؟ تقریبا همه روی papilio توافق کردیم ولی هیچ کس دیگه بعد از اون اقدامی انجام نداد.

مگه قرار نبود آقای زارعی خبر بدهند! پس چی شد؟ من فکر میکنم ایشون به غیر آرم ..... با بقیه پروسسور ها زیاد رابطه نداشته باشن ! البته نمیدونم حدسم درست بود یا نه؟ درستیش رو خود مهندس زارعی تکمیل میکنند!:hi::hi::hi:

ولی من کل این 33 صفحه رو بررسی کردم همه دارن روی انتخاب برد حرف میزنند! :baad::baad::baad:در خالی که اصل تئوری مطالب هست! هر کسی که فکر میکنه تسلط کامل به مطالب داره میتونه بردش رو هم بگیره! و این نشه که به فرض به خاطر نبود برد هیچ کار دیگه ای هم صورت نگیره!!!!!!!!!:(:(:(:(

هر کسی با هر سطحی هم که باشه بالا خره میتونه یه مدار ساده رو پروگم کنه حالا بعد مرور زمان یکم مدارش رو پیچیده کنه! ولی این ارزش نداره! چرا ؟ چون اگه تسلط به تئوری مطالب نباشه به خصوص در بحث محدودیت های زمانی time constraints همچین گیر میکنه که ....هیچی!!!!:wink::wink::wink::wink::wink:
بیایید در اصل موضوع پایه ای کار کنیم اگه دوستان قبلی که در صفحات اول اعلام علاقهمندی کردند حضور دارند پیشنهادات خودشون رو ارائه بکنند.

aref1
22-11-2013, 18:15
پیشنهاد من واسه خرید برد آموزشی واسه خودتون siga-16 ،

sarab1
22-11-2013, 18:58
در مورد لحیم کاری spartan6 484 pin شما چی کار میکنید؟

aref1
23-11-2013, 09:15
در مورد لحیم کاری spartan6 484 pin شما چی کار میکنید؟

اونایی که پد هاش بزرگتر از نیم میلیمتره خودم با مادون قرمز و هات ایر و کوچکتر از اونو میدم واسم مونتاژکنن.

mansoory
23-11-2013, 09:27
من دیگه امیدی به اینجا ندارم.

sarab1
23-11-2013, 10:19
اونایی که پد هاش بزرگتر از نیم میلیمتره خودم با مادون قرمز و هات ایر و کوچکتر از اونو میدم واسم مونتاژکنن.
دوستان کسی جایی رو میشناسه در ایران که پکیج BGA رو مونتاژ و تست اشعه X داشته باشن؟ چون بالا خره تست و اطمینان از اتصال تمامی پین ها میتونه خیلی مهم باشه!:wink::wink::wink::wink::wink:

sarab1
23-11-2013, 11:19
من دیگه امیدی به اینجا ندارم.
اونی که میخاست آموزش بده یه PDF میزاره تو سایش میگه برید دانلود کنید!!!!!
آخه اینطوری که نمیشه! فضای مجازی پر از PDF هستش من قبلا این PDF ها رو داشتم
:unh::unh::unh::unh::unh::unh:
اگه از اول همه چیز پله به پله مطرح شده بود اساسی و پایه ای الان اینطور نمشد!
خرید برد هم آخرین مرحله است

بگذریم از این حال و هوا ....:hi::hi::hi::hi:
حالا میخام ببینم دوستان اکه بخاییم دوباره شروع کنیم بیشتر روی چه مواردی دوست دارن در آینده مانور بدن؟
XILINX ALTERA VHDL VERILOG و ..... ACTIVE HDL MODELSIM ISIM ISE VIVADO QUARTUS
نظراتتون رو اعلام کنید من VHDL با XILINX و ISE و ISIM کار کردم اما میتونم با بقیه هم در صورت در خواست دوستان کار کنم:0013::0013::0013::0013::0013::0013::0013::0 013:

من قصد دارم اولش یه حداقل هایی رو مطرح کنم تا یادگیری FPGA از این حال و هوای سخت یکم آسانتر جلوه بکنه پس یا علی ::o:o:o:o:o:o:o
دوستان فقط هر چقدر قبلا کار کردن رو هم ذکر کنند حتی کسایی که اصلا هم کار نکردن هم اعلام آمادگی کنند ممنون مشیم و این به خاطر اینه که در چه سطحی مطالب رو پیگیری کنیم بهتر باشه

sarab1
23-11-2013, 11:23
پیشنهاد من واسه خرید برد آموزشی واسه خودتون siga-16 ،
آخه خیلی گرونه شما چند براتون تموم میشه (قبلا خریدید؟) ولی خیلی کامل و بروز هست فقط یه در خاستی از شما دارم اونم اینه که ما دانشجو ها که توان خریدمون محدود هست شما یه لطفی بکنید و در صورت امکان سورس و شماتیک و ... این برد رو برام آپلود کنید. ممنون میشم لطف بزرگی میکنید. با تشکر

aref1
23-11-2013, 20:14
آخه خیلی گرونه شما چند براتون تموم میشه (قبلا خریدید؟) ولی خیلی کامل و بروز هست فقط یه در خاستی از شما دارم اونم اینه که ما دانشجو ها که توان خریدمون محدود هست شما یه لطفی بکنید و در صورت امکان سورس و شماتیک و ... این برد رو برام آپلود کنید. ممنون میشم لطف بزرگی میکنید. با تشکر

من این برد آموزشی رو نخریدم فقط جمعه که رفته بودم مارکت الکترونیک یاد فروم شما افتادم گفتم یک سوالی درباره بردهای آموزشی اینجا بکنم ، دیدم این برد که spartan6 -usb-audio-ddr2- داره و همچنین فکر کنم ethernet 10/100 و همچنین یک پروگرامر جداگانه usb xilinx هم روش میده باید برد خوبی باشه ، قیمت اینجا حدود 410 هزار تومنه ، نمی دونم تهران این برد رو میفروشن یا نه ؟
در ضمن این برد spartan6 بود و همین شرکت بردهای دیگه ای هم داشت ،
اگر بودجه خرید اون رو ندارید به نظر من نوع آلگوریتم هایی که میخواین پیاده سازی کنید رو قطعی کنید و بعد از اون رو سریهای fpga یکی که خواسته شما رو جواب میده انتخاب و بعد شروع به طراحی شماتیک و پی سی بی بکنید ، فقط اگر پی سی بی شما چند لایه باشه هزینش از خرید برد آموزشی بیشتر میشه،
خوشبختانه جدیدا سری spartan6 مدل QFP زده که سابقا نداشت وشما میتونید برد دو لایه بزنید. البته یک کم قابلیت های اون کمتره،

aref1
23-11-2013, 20:23
دوستان کسی جایی رو میشناسه در ایران که پکیج BGA رو مونتاژ و تست اشعه X داشته باشن؟ چون بالا خره تست و اطمینان از اتصال تمامی پین ها میتونه خیلی مهم باشه!:wink::wink::wink::wink::wink:

دوست عزیز تست اشعه ایکس !!! ،اونجا که آمریکا یا اروپا نیست، بنظرم گذرتون به طبقات بالای پاساژ علاالدین و یا تعمیرکارای مجتمع کامپیوتر تو چهار راه ولیعصر نیفتاده که ببینی مثل قرقی آی سی bga رو با سشوار صنعتی عوض یا مونتاژ میکنن.
:mrgreen:

sarab1
23-11-2013, 20:41
من این برد آموزشی رو نخریدم فقط جمعه که رفته بودم مارکت الکترونیک یاد فروم شما افتادم گفتم یک سوالی درباره بردهای آموزشی اینجا بکنم ، دیدم این برد که spartan6 -usb-audio-ddr2- داره و همچنین فکر کنم ethernet 10/100 و همچنین یک پروگرامر جداگانه usb xilinx هم روش میده باید برد خوبی باشه ، قیمت اینجا حدود 410 هزار تومنه ، نمی دونم تهران این برد رو میفروشن یا نه ؟
در ضمن این برد spartan6 بود و همین شرکت بردهای دیگه ای هم داشت ،
اگر بودجه خرید اون رو ندارید به نظر من نوع آلگوریتم هایی که میخواین پیاده سازی کنید رو قطعی کنید و بعد از اون رو سریهای fpga یکی که خواسته شما رو جواب میده انتخاب و بعد شروع به طراحی شماتیک و پی سی بی بکنید ، فقط اگر پی سی بی شما چند لایه باشه هزینش از خرید برد آموزشی بیشتر میشه،
خوشبختانه جدیدا سری spartan6 مدل QFP زده که سابقا نداشت وشما میتونید برد دو لایه بزنید. البته یک کم قابلیت های اون کمتره،
منظورتون همین برد مگه نیست:
Xilinx FPGA Development Board Spartan6 XC6SLX16 DDR2 [XC6SLX16] - $186.00 : WayEngineer , The Way Of Engineer (You can see links before reply)
به دلار که بالای 500 تومن در میاد شما الان توی چین تشریف دارید ؟ گذرتون به ایران نمیفته؟:0013::0013::0013::0013::0013::0013:

sarab1
23-11-2013, 20:44
الان که تو ایران برد های spartan 3e 500 رو 900 هزار تومن میدن!!! که نصف امکانات این بردی که شما معرفی کردید رو نداره!!!! مگه اینها چقدر سود میکنن!!!!!:baad:

aref1
24-11-2013, 17:53
منظورتون همین برد مگه نیست:
xilinx fpga development board spartan6 xc6slx16 ddr2 [xc6slx16] - $186.00 : Wayengineer , the way of engineer (You can see links before reply)
به دلار که بالای 500 تومن در میاد شما الان توی چین تشریف دارید ؟ گذرتون به ایران نمیفته؟:0013::0013::0013::0013::0013::0013:

بله ، منظورم همین برد آموزشی ه ، اینجا به دلار 3000 تومن قیمتش حدودا 410 تومن میشه، شما قبلا گفته بودید دانشجو هستید یک سوالی واسم پیش اومده مگر شما تو دانشگاهتون برد آموزشی ندارید؟

sarab1
24-11-2013, 19:10
بله ، منظورم همین برد آموزشی ه ، اینجا به دلار 3000 تومن قیمتش حدودا 410 تومن میشه، شما قبلا گفته بودید دانشجو هستید یک سوالی واسم پیش اومده مگر شما تو دانشگاهتون برد آموزشی ندارید؟
چرا اتفاقا 4 تا برد اورجینال ALTERA DE1 داریم ولی من دنبال xilinx هستم!!!

sarab1
24-11-2013, 19:12
بله ، منظورم همین برد آموزشی ه ، اینجا به دلار 3000 تومن قیمتش حدودا 410 تومن میشه، شما قبلا گفته بودید دانشجو هستید یک سوالی واسم پیش اومده مگر شما تو دانشگاهتون برد آموزشی ندارید؟
خوب اگه اینطوری شما بیارید منم بفروشم!!:018::018::018::018::018::018:

aref1
24-12-2013, 17:52
سلام ،
کسی از دوستان لایسنس ise 14 .6 رو داره یا میدونه کجا میشه پیدا کرد.

sarab1
24-12-2013, 20:57
سلام ،
کسی از دوستان لایسنس ise 14 .6 رو داره یا میدونه کجا میشه پیدا کرد.
برادر دیگه کم پیدا شدی!!
من 14.7 رو دارم شما از کجا دانلود کردید که لایسنس نداره؟

dariush_ab
17-01-2014, 12:29
سلام به همه دوستان.
خرید یا ساخت برد به کجا رسید؟؟؟
منصرف شدید؟

sadeghbakhshi
17-01-2014, 16:57
منتفی شد
هیچ کس پایه نبود
از طرفی هم قرار بود اقای زارعی یه قیمت حدودی دربیاره که اون هم خبری نشد

dariush_ab
17-01-2014, 19:42
منتفی شد
هیچ کس پایه نبود
از طرفی هم قرار بود اقای زارعی یه قیمت حدودی دربیاره که اون هم خبری نشد
این که خیلی بده که اکثر مطالب وسط کار اینجوری رها میشه.
باید مثل تاپیک اون برد stm که بچه ها ساختن پیش بریم
من این صفحات رو میخوندم به نظر اکثرا راغب میومدن؟!چی شد یه دفعه رها شد!
این پروگرمری که حسین آقا طراحی کردن شماتیکش کجاست؟ نمیدونید به کجا رسید؟
چند مورد شماتیک و pcb تو سایت دیدم . حداقل یک برد با xc3s400 فکر کنم حدود 100 در بیاد.شما خودتون قصد ادامه ندارید؟
این هم برد بدی نیست.
برد توسعه Spartan3 HMZF3S400 محصول شرکت | شرکت رهپويان علم و صنعت آوا (You can see links before reply)
اینجا هم یکی طراحی کرده ولی گفته مشکل داره!
You can see links before reply

mzarkoob
17-01-2014, 19:57
این هم برد بدی نیست.
برد توسعه Spartan3 HMZF3S400 محصول شرکت | شرکت رهپويان علم و صنعت آوا (You can see links before reply)

سلام
نمی دونم چه بردی از fpga بود و از کجا ولی بچه ها قبلا در فروم همسایه می گفتند مورد داره و سخت افزارش درست طراحی نشده . یه بررسی بکنید

sadeghbakhshi
18-01-2014, 00:36
والا من هم بدم نمیاد شروع کنیم
ولی فعلا stm استارت زدم
ولی اگر شروع بشه من هم هستم
برد پاپیلیو هم قیمت گرفته بودم که فکر کنم حداکثر گفتم 150
یعنی این
Papilio One 250k FPGA Development Board (You can see links before reply)
ولی خودمونیما در گوشی میگم ولی با صدای بلند
از همون اول هم معلوم بود کسی که تاپیک رو زده اینکاره نبوده و فقط چندتا دونه pdf داشته

dariush_ab
18-01-2014, 18:22
برد پاپیلیو هم قیمت گرفته بودم که فکر کنم حداکثر گفتم 150
یعنی این
Papilio One 250k FPGA Development Board

از کجا قیمت گرفتید؟
دلیلتون برای انتخاب این برد papilio چیه؟ مدلهای دیگه papilio چطورن؟

sadeghbakhshi
19-01-2014, 02:16
از کجا قیمت گرفتید؟
دلیلتون برای انتخاب این برد papilio چیه؟ مدلهای دیگه papilio چطورن؟

این برد اپن سورس بود
قیمت مناسبی نسبت به بقیه داشت و اون وقع تخفیف هم خورده بود
و بخاطر اپن سورس بودن میشد براش مثال گیراورد
از یکی از فروشگاههای تهران

dariush_ab
19-01-2014, 14:01
این برد اپن سورس بود
قیمت مناسبی نسبت به بقیه داشت و اون وقع تخفیف هم خورده بود
و بخاطر اپن سورس بودن میشد براش مثال گیراورد
از یکی از فروشگاههای تهران
قیمتش خوبه.الان نمیدونید داره یا نه؟ از طریق USB روی برد و نرم افزار You can see links before reply هم پروگرم میشه.درسته؟
البته یک راه دیگه هم ساخت برد با 3S400 هستش که 40 تومن هستش ولی خوب دردسر و ریسک داره.
برای پروگرمر هم این لینک که قبلا گذاشتم مورد خوبی هستش که فکر کنم حسین آقای عزبز هم زحمت PCB رو کشیدن.البته اگر بخواهیم برد رو خودمون بزنیم.
mikroElektronika • View topic - FPGA programmer with PIC18F4550 on USB (You can see links before reply)
خوب نظرتون؟

sadeghbakhshi
19-01-2014, 18:29
قیمتش خوبه.الان نمیدونید داره یا نه؟
اون موقع هم نداشت
اون قیمتی که من دادم قیمتی بود که برای وارد کردن یکدونه به من داده بود
و این قیمتی هست که دست من رو میگرفت
وقرار بود یک هفته ای واردش کنه
نهایتا 10 روز
مونتاژ کردن کدوم برد رو میگید که 40 تومن میشه
فیمت خود چیپش زیاده والا خرج دیگه ای نداره
و فکر میکنم با همون usb هم میشد پروگرام کرد
یکی از بچه ها خریده بود

dariush_ab
19-01-2014, 19:55
مونتاژ کردن کدوم برد رو میگید که 40 تومن میشه

برد رو نگفتم خود چیپ تنها 40 تومن هستش. کل برد فکر کنم حدود 100 در بیاد.به نظرم همون آماده رو سفارش بدیم بهتره.

sadeghbakhshi
19-01-2014, 22:42
برد رو نگفتم خود چیپ تنها 40 تومن هستش. کل برد فکر کنم حدود 100 در بیاد.به نظرم همون آماده رو سفارش بدیم بهتره.

مشکل سر برد نیست
مشکل ازاینکه استارت نسبتا خوب هست
ولی دونه دونه بچه ها کنار میکشند
تا اینکه میبینی فقط یک نفر پست میده که اون هم بعد یه مدت میره برای خودش کار میکنه
البته اگر اون هم پشیمون نشه

dariush_ab
20-01-2014, 00:30
مشکل سر برد نیست
مشکل ازاینکه استارت نسبتا خوب هست
ولی دونه دونه بچه ها کنار میکشند
تا اینکه میبینی فقط یک نفر پست میده که اون هم بعد یه مدت میره برای خودش کار میکنه
البته اگر اون هم پشیمون نشه

بله درست میگید.
فکر کنم منظور شما اینه که بهتره بی خیالش بشیم.
من خواستم یاد بگیرم میرم تنهایی دنبالش!

jonbakhsh
20-01-2014, 01:01
من کنار کشیدم چون از اولشم معلوم بود به جائی نمیرسه . یکی یه برد میبینه میگه با این کار کنیم یکی دیگه یه برد دیگه میخاد. یکی دیگه بهانه پروگرامر میگیره که آقا لب تاب من پورت LPT نداره خوب یعنی توی خونه شما یه سیستم پیدا نمیشه ؟؟؟. هنوز برنامه نویسی بلد نیست برد وارداتی میخاد . بهتون قول میدم اگه وارد میشد خیلی کم میخریدند. من گفتم که با نرم افزار tina کار کنید مثل پروتئوس. با همون cpld خیلی کارا میشه کرد . من بردشم کشیدم ولی هر کسی یه بهانه ای گرفت .

hossein1387
20-01-2014, 22:36
سلام به همه:

من هم نظرم این بود که اول با یک سری شبیه سازی شروع کنید و یک سری کد بنویسید بعد برید سراغ برد. برای برد Papilio هم که براتون یک آموزش گذاشتم که دیگه نگین برد نیست! این برد رو هم خیلی ها ساختن و اگر توی سایت خود Papilio برید میبینید که نکات برای ساخت برد وجود داره و اصلا چیزه پیچیده ای نیست. من گفتم اگر یک گروه بشیم همه تجربیاتمون رو روی یک Platform به اشتراک میزاریم. نمیدونم واقعا دیگه مشکل از کجا هست!

mansoory
22-01-2014, 10:39
آقای زارعی سلام.
شما میتونید با تولید یا وارد کردن یه برد و پروگرامر مناسب، این بخش رو فعال کنید.
علاقمندان زیادی هستند که به علت عدم دسترسی به برد مناسب و پروگرامر نمی تونن کار کنند.
نمونه: خودم. یه برد از نو آوران خریدم که انداختمش یه گوشه. پروگرامرش lpt میخواد.

aref1
31-01-2014, 16:17
برادر دیگه کم پیدا شدی!!
من 14.7 رو دارم شما از کجا دانلود کردید که لایسنس نداره؟

از سایت خودش گرفتم ، و لایسنسش 30 روز spartan 6 - 150 رو جواب میده ، موقع طراحی برد حواسم به این موضوع نبود ، اگر خواستید طراحی کنید حواستون به این موضوع باشه ،

1kamran1
19-02-2014, 15:22
سلام بر دوستان عزیز من میخام آموزش fpga از اول تا زمان پروگرام کردن آی سی رو قرار بدم
اگه علاقه دارید نظر بزارید تا شروع کنم
و بگید که به نظر شما از کجا شروع کنم بهتره
از اموزش زبان سخت افزاری
یا نرم افزار modelsim
یا نرم افزارهای پروگرام
هرجور شما دوستان دوس دارید


:o:o

zayalog
22-02-2014, 01:50
سلام .بالاخره یکی پیدا شد که بعد این چند صفحه یه حرکتی انجام بده،به نظر من یه کلیتی از همه مواردی که فرمودین رو آپ کنید بعد بصورت پروژه ای کار رو جلو ببرید.در ضمن یک برد آمورشی برای پیاده سازی ها هم اگر پیشنهاد بدین که سریعتر این قضیه تهیه برد آموزشی جمع بشه لطف خیلی بزرگی درحق بچه های این فروم انجام دادین.پس بسم ا...

majidma3000
06-04-2014, 02:21
از نظر من اگه می خوایین تازه با FPGA شروع به کار کنین، از همین ابتدای کار برین سراغ Altium، چون در زمینه FPGA خیلی پیشرفتس و کار با اون خیلی راحته، از طرفی چون همه FPGA ها رو پشتیبانی میکنه فرقی نداره با XILINX کار کنین یا ALTRA یا ....
ALTIUM به سفارش سازمان فضایی ناسا ساخته شده و در حال حاظر از این برنامه به همراه FPGA های XILINX در ناسا استفاده میشه
در وبسایت ALTIUM فیلم و PDF آموزشی از مقدماتی تا حرفه ای موجوده

س.ب
17-01-2015, 14:24
ببخشید یکی اگه ممکنه بگه برای اینکه برنامه بعد از روشن شدن مجدد اسپارتان 6 بخواد روش اتوماتیک لود شه باید چیکار کرد؟؟

esisafa
17-01-2015, 15:16
طبق توضیحات دیتاشیت باید از حافظه های جانبی استفاده کنید که خود fpga در ابتدا خودشو بارگزاری میکنه.