PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : شروع کار با fpga



hossein1387
28-04-2013, 12:30
چند تا نکته و پیشنهاد دارم برای کسایی که میخوان با FPGA شروع به کار کنن :

1- FPGA اصلا مثل میکرو نیست! اصلا دو تا چیز متفاوت هستن. هر کدوم باید توی شرایط خودشون بررسی و استفاده بشن. کلا این ذهنیت رو بریزین بیرون که مثلا FPGA از میکرو بهتره یا بالعکس!


2- اگه میخواین با FPGA شروع به کار کنین به نظرم بهترین گزینه دیدن ویدوهای آقای صدری هست. ایشون لطف کردن و تمام کلاسهای FPGA خودشون رو که در دانشگاه صنعتی اصفهان تدریس میکردند رو ضبط کردن و توی سایت خودشون قرار دادن. آدرس سایتشون: You can see links before reply
زبانی که ایشون انتخاب کردن Verilog هست. به نظر من عالی درس میدن و در کنار مطالب نظری مطالب عملی طراحی با FPGA رو هم درس میدن.
اگر با ویدو حال نمیکنین و با حجمشون برای دانلود زیاد هست ( هر کلاس حدود 250 مگ هست) میتونین از کتاب دکتر نوابی استفاده کنین که به نظر من این کتاب هم عالی هست (زبان آموزش Verilog) لینک دانلود:
You can see links before reply
این کتاب به زبان انگلیسی هست ولی خوب ریز به ریز نکات Verilog رو ایشون پوشش دادن.

اگه Verilog بلدین و میخواین با VHDL کد بزنین و یا با Verilog حال نمیکنین، ( البته به نظر من Verilog برای یاد گیری از VHDL راحت تر هست) میتونین از کتاب زیر استفاده کنین:
You can see links before reply
این کتاب به فارسی هم ترجمه شده. میتونین به اینجا یک سری بزنین:
آموزش FPGA (You can see links before reply)

در کل تجربه خودم این هست که با Verilog شروع به آموزش کنین. ولی خوب خوبی VHDL این هست که در طراحی یکم دستتون باز تر هست. اصلا نمیگم که از Verilog بهتر هست!!
من شنیدم که معمولا طراحی رو با VHDL انجام میدن TestBench رو با Verilog مینویسن. من هم همین کار رو انجام میدم.

برای طراحی های حرفه ای تر و TestBench های قوی تر بهتره SystemVerilog رو یاد بگیرین ولی برای شروع همون Verilog از همه بهتر هست.

3- برای کار با FPGA نیاز به یک برد آموزشی دارین. متاسفانه مشکل اصلی کار با FPGA قیمت بالای اون نسبت به میکرو هست.(البته به صورت کلی میگم). مشکل دیگه پروگرامر USB هست که قیمتش اون هم زیاده!
اگر خودتون میتونین برد بزنین میتونین از پروژه open source به نام papilo استفاده کنین:
Papilio Hardware (You can see links before reply)

من خودم این برد رو تاحالا استفاده نکردم ولی خوبی این برد این هست که پروگرامر USB روی خود برد تعبیه شده ( باز هم میگم من خودم از این برد استفاده نکردم). نمونه ایرانی! برد هم وجود داره که میگن خودمون تمام طراحی رو انجام دادیم حالا خودتون قضاوت کنین:
محصولات FPGA - برد FPGA با حافظه - I300 - فروشگاه ایستا کیت (You can see links before reply)

این بردها قیمتشون مناسب هست ولی خوب قطعا خیلی از قابلیت های Debugging رو ندارن.

اگه خوب پول دارین و میتونین خوب خرج کنین به نظرم بردهای spartan 3 گزینه مناسبی برای شروع باشه.

دیگه چیزی به ذهنم نمیرسه انشاالله که تونسته باشم کمکی کرده باشم.

mzarkoob
28-04-2013, 21:15
سلام
با تشکر از انتقال تجربتان
من قبلا یه فیلم آموزشی کوچیک برای شروع گذاشتم :
ایـــــــــران میـــــــکرو ™ - مشاهده یک موضوع - اموزش برنامه نویسی تراشه های fpga (You can see links before reply)
هی بدک نیست:mrgreen:
در مورد سمپل هم سمپلverilog و vhdl:
You can see links before reply
ایـــــــــران میـــــــکرو ™ - مشاهده یک موضوع - اموزش برنامه نویسی تراشه های fpga (You can see links before reply)

mansoory
30-04-2013, 10:36
.... نمونه ایرانی! برد هم وجود داره که میگن خودمون تمام طراحی رو انجام دادیم حالا خودتون قضاوت کنین:
محصولات fpga - برد fpga با حافظه - i300 - فروشگاه ایستا کیت (You can see links before reply)

این بردها قیمتشون مناسب هست ولی خوب قطعا خیلی از قابلیت های debugging رو ندارن.

اگه خوب پول دارین و میتونین خوب خرج کنین به نظرم بردهای spartan 3 گزینه مناسبی برای شروع باشه.

دیگه چیزی به ذهنم نمیرسه انشاالله که تونسته باشم کمکی کرده باشم.

متشکرم.
سایت رو دیدم. آیا قیمت بردها آپدیته؟ اگه میشه شماره تماستون رو بدین، ممنون میشم
باتشکر

Dr.ROOSTA
30-04-2013, 20:11
سلام به همگی....
من ی زمانی قصد داشتم تا اخر fpga برم ولی درگیر شدم با مسائلی که نشد....
خواستم بگم بد نیست این سایت که یجورایی منم توش فعالیت دارم سر بزنید

محصولات در یک نگاه | شرکت رهپويان علم و صنعت آوا (You can see links before reply)

hossein1387
02-05-2013, 12:26
متشکرم.
سایت رو دیدم. آیا قیمت بردها آپدیته؟ اگه میشه شماره تماستون رو بدین، ممنون میشم
باتشکر

سلام مهندس

اول از همه اینکه من هیچ ارتباطی با این سایت ندارم. همونطور که گفتم این بردها ( به نطر من) از پروژه open Source به اسم papilio استفاده میکنن. نمیدونم کیفیت بردها چه جوری هست ولی به نظر من مزیتی که دارن این هست که پروگرامر جدا نمیخوان (اینطور که خودشون میگن). من خودم چند بار بهشون زنگ زدم و درخواست برد دادم ولی متاسفانه بسیار بد قول هستن! حالا شاید هم بندگان خدا اون روزها سرشون شلوغ بوده ولی من بردها رو عجله ای میخواستم و اونها حدود 2-3 ماه من رو حیرون کردن و آخر هم قیمت رو بالا بردن و من نتونستم ازشون بخرم.
ولی انصافا قیمت هاشون بد نیست.
این سایتی هم که Dr.ROOSTA معرفی کردن هم من پرس و جو کردم (6 ماه پیش) کیفیت بردهاشون خوب هست وبردهای حرفه ای زیاد دارن (بعضی از بردهاشون تا 5-6 تومن هست!)
حالا خودتون دیگه باید بهشون زنگ بزنین.

sadeghbakhshi
28-08-2013, 02:36
آقا کسی کتاب کاربردی برای اموزش Verilog البته به زبان فارسی سراغ نداره؟
الان اکثر کتابهایی که دیدم یا چرت نوشتند یا بیشتر از اینکه کد و الگوریتم یاد بدهند
مدار منطقی درس میدهند به قول یکی از معلمان دبیرستان کتبشون بیشتر جنبه ی بازاری داره
تا کاربرد و آموزش
اگر هم فارسی میخواهم فقط بخاطر این هست که مدتهاست از زبان فاصله گرفتم
خیلی چیزها رو یادم رفته :mrgreen:

hossein1387
28-08-2013, 02:52
آقا کسی کتاب کاربردی برای اموزش Verilog البته به زبان فارسی سراغ نداره؟
الان اکثر کتابهایی که دیدم یا چرت نوشتند یا بیشتر از اینکه کد و الگوریتم یاد بدهند
مدار منطقی درس میدهند به قول یکی از معلمان دبیرستان کتبشون بیشتر جنبه ی بازاری داره
تا کاربرد و آموزش
اگر هم فارسی میخواهم فقط بخاطر این هست که مدتهاست از زبان فاصله گرفتم
خیلی چیزها رو یادم رفته :mrgreen:


مهندس برای آموزش Verilog به زبان فارسی ویدو های آقای صدری رو نگاه کن. خیلی خوب توضیح دادن.
آدرس سایت آقای صدری:
googoolia.com - Mohammad Sadegh Sadri (You can see links before reply)

لینک درس آقای صدری در مکتب خونه:
You can see links before reply

یکی از بهترین سایت های آموزش زبان های Verilog,VHDL,SystemC و SystemVerilog هم سایت زیر هست:
WELCOME TO WORLD OF ASIC (You can see links before reply)

شما ویدو های آقای صدری رو ببین مطمن باش پشیمون نمیشی.

pswin
20-02-2014, 01:33
سلام دوستان

من میخوام یه پروژه تحقیقاتی با FPGA رو شروع کنم. که هر موقع که قطعی شد تمامی دوستان رو مطلع میکنم. برای این پروژه من یه FGPA لازم دارم که هم سرعت بالایی داشته باشه و هم تعداد زیادی از gate رو بتونه داخلش جا بده. پیشنهادتون چیه؟ کدوم برد رو پیشنهاد میکنید؟ من توی این پروژه بردی لازم دارم که از رم هم پشتیبانی کنه ( ترجیحا RAM های DDR3 و DDR2 و اینا!!)

az_10s
04-03-2015, 00:32
سلام دوستان

من میخوام یه پروژه تحقیقاتی با FPGA رو شروع کنم. که هر موقع که قطعی شد تمامی دوستان رو مطلع میکنم. برای این پروژه من یه FGPA لازم دارم که هم سرعت بالایی داشته باشه و هم تعداد زیادی از gate رو بتونه داخلش جا بده. پیشنهادتون چیه؟ کدوم برد رو پیشنهاد میکنید؟ من توی این پروژه بردی لازم دارم که از رم هم پشتیبانی کنه ( ترجیحا RAM های DDR3 و DDR2 و اینا!!)

سلام شما بسته به حجم کار میتونید انتخاب کنید ولی spartan 3 خوبه cpld های الترا هم پیشنهاد میشه

shahabamo
29-08-2015, 02:55
سلام.
دوستان در همین رابطه میتونید سری هم به این تاپیک بزنید:
برد آموزشی اسپارتان 6 - برد پازج (You can see links before reply)