PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : مشخص کردن یک پین خاص به عنوان ورودی یا خروجی



HamidTaheri
23-05-2011, 02:21
سلام
کسی میدونه چجوری باید ورودی و خروجی برنامه رو به یک پین خاص نسبت داد؟
مثلا یک گیت and نوشتیم و می خواهیم دوتا پایه ی مخصوص fpga رو به ورودی ها وصل کنیم و
یک پایه ی دیگر رو به عنوان خروجی استفاده کنیم.
لطفا کمک کنید.

agape
26-05-2011, 12:39
خوب باید اول مشخص میکردید که به چه زبانی کار میکنید!
من که وریلوگ استفاده میکنم برای این کار برنامه رو این طور مینویسم

module Test(A, B, C);
input A;
input B;
output C;

and (C,A,B);
endmodule
ورودی یا خروجی بودن رو توی ابتدای ماژول تعریف میکنید بعد عملیات مورد نظر روش پیاده سازی میکنید

shayanmelody
26-05-2011, 15:00
سلام
کسی میدونه چجوری باید ورودی و خروجی برنامه رو به یک پین خاص نسبت داد؟
مثلا یک گیت and نوشتیم و می خواهیم دوتا پایه ی مخصوص fpga رو به ورودی ها وصل کنیم و
یک پایه ی دیگر رو به عنوان خروجی استفاده کنیم.
لطفا کمک کنید.
سلام
شما با چه نرو افزاری کار میکنید ؟ اگه ise هست که آسونه.بگید تا بگم.

t.o.e
07-06-2011, 16:17
سلام
شما با چه نرو افزاری کار میکنید ؟ اگه ise هست که آسونه.بگید تا بگم.

سلام:
با همون ise همین کاری که میگید رو انجام بدید تا منم یاد بگیرم.

shayanmelody
07-06-2011, 23:57
سلام:
با همون ise همین کاری که میگید رو انجام بدید تا منم یاد بگیرم.
سلام،با اجازه از اساتید.
بعد از اینکه اومدید پروژه جدید ایجاد کردید و کد هارو نوشتید بر روی قسمت floorplan IO - pre-synthesis کلیک کنید که با رنگ آبی مشخص شده است(عکس 1).
بعد از اینکار یک پنجره جدید باز میشود که در خود این پنجره چند پنجره دیگر نیز وجود دارد.
در پنجره design objec list-I/O pins نام پین های مورد استفاده رو میبینید.
در ستون Loc با کلیک کردن بر روی سطر های مختلف(سطری که پین مورد نظر قرار دارد) نمایشگر تایپ کردن ظاهر میشود و شما باید در اینجا پایه های تراشه را به پین هایی که در برنامه تعریف کردید اختصاص دهید با عبارت : Px که x شماره پایه های تراشه است.
(عکس2)
سپس از منوی file گزینه save را انتخاب کنید.در پنجره بعد بر روی ok کلیک کرده و سپس پنجره اصلی را نیز ببندید.
اکنون باید یک فایل با پسوند ucf به پر.ژه شما اضافه شده باشد.
اکنون میتوانید با کلیک بر روی گرینه implement design پروژه خود را به سینتز کنید.
عکس ها ضمیمه شده اند.

t.o.e
08-06-2011, 03:04
سلام:
فایل شما رو گرفتم.تا جمعه میرم بررسی میکنم و اگر مشکلی داشتم میام اینجا میگم تا راهنماییم کنید.
متشکرم.

t.o.e
08-06-2011, 03:42
از اساتید xilinx:
این عکسی که گذاشتم مال یه کانتر هست..این عکس مال شبیه سازیه.ولی من نفهمیدم داستانش چیه؟

یک نفر لطف کنه این عکس رو به زبان خیلی ساده که منم بفهمم، برام شرح بده تا مطالعه کنم و به طور مفهومی تو ذهنم جا بیافته.

با تشکر.

SaeidJorablo
28-12-2011, 20:54
از اساتید xilinx:
این عکسی که گذاشتم مال یه کانتر هست..این عکس مال شبیه سازیه.ولی من نفهمیدم داستانش چیه؟

یک نفر لطف کنه این عکس رو به زبان خیلی ساده که منم بفهمم، برام شرح بده تا مطالعه کنم و به طور مفهومی تو ذهنم جا بیافته.

با تشکر.
سیگنال اول که داره مقدار کانتر شما را نشان می دهد. سیگنال دوم جهت شمارش (بالا رونده یا پایین رونده) ابتدا شمارش پایین رونده هست، با آمدن کلاک پالس تا 14 شمارش انجام شده سپس جهت شمارش عکس شده و شروع به شمارش بالارونده کرده.
دو سیگنال پایین را نفهمیدم چیست اما هر چی هست ثابت هستند و تغییر نمی کنند.