PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : اموزش برنامه نویسی تراشه های fpga



1nafar
26-06-2009, 09:27
با توجه به اینکه منابع اموزش fpga در ایران بسیار کمتر از دیگر میکر ها هست ، تصمیم به شروع اموزش fpga در سایت گرفتیم . برای شروع به بررسی fpga ، نرم افزار های برنامه نویسی و شبیه سازی و ... میپردازیم .
FPGA چیست؟
ها نسل جدید مدارهای مجتمع دیجیتال قابل برنامه ریزی هستند که عبارت FPGA از سر کلمه های Field Programmable Logic Gate Array گرفته شده است . سرعت اجرای توابع منطقی در FPGA ها بسیار بالا و در حد نانو ثانیه است . اگر بخواهیم FPGA ها را به طور ساده تشریح کنیم ، عبارت است از یک تراشه که از تعداد بالایی بلوک منطقی - LB (Logic Block) ، خطوط ارتباطی و پایه های ورودی / خروجی (IOB) تشکیل شده است که به صورت آرایه ای در کنار یکدیگر قرار دارند . خطوط ارتباطی که وظیفهء آنها ارتباط بین بلوک های منطقی است از سوئیچ های قابل برنامه ریزی تشکیل شده اند . این سوئیچ ها بسته به نوعی که دارند ، برخی تنها یکبار قابل برنامه ریزی هستند و برخی به تعداد دفعات زیادی برنامه ریزی می شوند .
بلوک های منطقی نیز دارای انواع مختلفی هستند که عموما توسط المانی پایه ، تمامی توابع منطقی را ایجاد می کنند . به عنوان مثال بلوک های منطقی در خانواده ACT-1 از شرکت Actel ، با پایهء مالتی پلکسری عمل می کنند . به این معنا که توسط مالتی پلکسر ، توانایی ایجاد توابع منطقی مختلف را دارند .
البته تعداد ورودی های هر بلوک منطقی متفاوت است و به نوع FPGA مربوط می شود . به عنوان مثال بلوک های منطقی در خانوادهء ACT-1 ، از نوع 8 ورودی است . البته در برخی موارد به بلوک های منطقی ، سلول های منطقی نیز گفته می شود (LC) .
بلوک دیاگرام یک FPGA به طور ساده در شکل زیر نشان داده شده است .

You can see links before reply

البته بسیاری از سلول های منطقی بر اساس جداول LUT ساخته می شوند . LUT از تعدادی سلولهای حافظه SRAM تشکیل می شود که در هنگام برنامه ریزی FPGA ، مقدار دهی می شوند . به طور خلاصه LUT عبارت است از تولید توابع آماده برای استفاده در سلول های منطقی .
پیاده سازی توابع مختلف نیز به وسیلهء در کنار هم قرار گرفتن بلوک های منطقی و همچنین تنظیم ارتباط بین هر بلوک و به عهده گرفتن پردازش اطلاعات توسط هر بخش انجام می شود
You can see links before reply
نکته :
مبحث مربوط به FPGA ها بسیار گسترده است . دلیل این امر گوناگونی معماری ساخت برای FPGA های هر شرکت سازنده است . به عنوان نمونه در ساختار منطقی بلوکها ، ترکیب های متفاوتی وجود دارد که هر شرکت از ترکیب خاص خود استفاده می کند . بنابراین تنها به صورت کلی به بررسی ساختار FPGA پرداخته شد .
علاوه بر اجزای گفته شده ، ممکن است برخی قسمت های داخلی نیز برای FPGA تعبیه شده باشد ، نظیر ALU و ... که بستگی به طراحی شرکت سازنده دارد .

کاربرد FPGA :
FPGA در پیاده سازی توابع نسبتا پیچیده و پیچیدهء دیجیتال به کار می روند که نیاز به سرعت پردازش بالایی دارد . علاوه بر این کاهش سخت افزار مورد نیاز و همچنین برنامه نویسی ساده و استاندارد نیز از دیگر مزیت های استفاده از FPGA است .به علاوه به دلیل استفاده از بلوک های منطقی به جای معماری های risc و... نویز پذیری این تراشه کمتر میباشد .


برنامه نویسی و طراحی با FPGA :
برنامه نویسی و طراحی مدارات با FPGA ها به طور کلی به 3صورت انجام می شود .
- با استفاده از زبان های توصیف سخت افزاری مانند VHDL ، AHDL ، HDL و ...
- با استفاده از طراحی مدار
-باساتفاده از زبان های برنامه نویسی سطح بالا مانند c

این عمل توسط نرم افزارها و ابزارهای برنامه ریزی مختص به هر خانواده انجام می شود که توسط شرکت های سازنده در اختیار طراحان قرار می گیرد . به عنوان نمونه MAX + Plus || و QUARTUS || ابزار های برنامه ریزی FPGA های ساخت شرکت ALTERA هستند .
در اینجا ما با زبان های c و vhdl کار خواهیم کرد ، چون اغلب دوستان با زبان c اشنایی دارند ، همچنین زبان vhdl دارای توابع بسیار ساده میباشد و یاد گیری ان بسیار اسان است .
در درس بعدی به بررسی انواع fpga های ساخته شده و نرم افزر های انها خواهیم پرداخت

ECA.VRT
26-06-2009, 19:52
سلام
اولین سوال درس رو من میپرسم ، این fpga ها به چه دردی میخوره ؟ ایا مزیتی نصبت به avr و pic و arm داره ؟ قیمتش چند هست ؟

1nafar
27-06-2009, 07:20
این fpga ها به چه دردی میخوره
اونا در جاهای که به سرعت پردازش بالا و همچنین پردازش داده با حجم بالا نیاز باشه استفاده میشن ، مثل پرداز ش تصویر و...

؟ ایا مزیتی نصبت به avr و pic و arm داره هر میکرو ای مزیت خودش رو داره ، اینا هم دارای یه سری مزیت هستند که به مرمرو گفته میشه

قیمتش چند هست ؟
بسته به امکانات و تعداد پایه ها بین 2 تا 20 هزار تومان

رهتازالکترونیک
22-06-2010, 13:47
مگه avr برای پردازش تصویر جواب نمی ده؟
اگه جواب نمی ده armیا fpgaکدومش بهتره؟

Division
23-06-2010, 10:08
مگه avr برای پردازش تصویر جواب نمی ده؟
اگه جواب نمی ده armیا fpgaکدومش بهتره؟

بحث استفاده از avr تو پروسه پردازش تصوير چيزه جالبي از آب در نمياد چون عملاً تعداد فرم هاي پردازشي خيلي پايين تره و زير ساخت لازمه رو براي اين عمل نداره ولي با اين حال باهاش مي شه يه كارايي رو انجام داد.:0013:

ولي در مورد اينكه arm براي پردازش تصوير بهتره يا fpga اينم باز بستگي به تعداد فرم هاي آبجكت پردازشي شما داره ولي چون arm يه جورايي فريندلي تر از fpga هستش من arm رو براي اين موضوع انتخاب مي كنم البته بحث من كلي هستش .

كانفيك اوليه fpga براي پردازش تصوير خيلي مشكل و پيچيده هستش (البته به نظره من) ولي اگه كسي تسلط بالاي 70 درصد به كانفيگ اين پروسسور خاص داشته باشه به امتحانش مي ارزه كه حداقل يه تستي رو بكنه .:wink:

farzadsw
23-06-2010, 18:59
FPGA ها كلا مقوله اي جدا گونه هستن و نه پردازنده هستن و نه ميكروكنترلر .fpga ابزاري هستن براي ساخت مدارهاي منطقي كه ميكروپروسسور هم ميتونه باشه . مقايسه اين 2تا اصلا درست نيست .
دركل ميشه گفت كار با fpga ها سخته ولي تقريبا همه كاري ميشه با اونها كرد . بنابر اين ميتونيد با اونا پردازش تصوير رو خيلي سريعتر اما سختر انجام بديد .

shayanmelody
20-02-2011, 20:33
سلام .
میشه لطفا ادامه بدید؟

t.o.e
28-02-2011, 20:56
سلام:
منم یه سری چیزها فهمیدم ولی نمیدونم درسته یا نه...
خانواده altra نسبت به xilinx تو ایران کمتر استفاده میشود ولی تو کار های دقیق کامپایلر qurtus برای altra توی عمل دقیقا عین نرم افزار هست...به عنوان مثال اگر یه دستوری تو کامپایلر 1 نانو ثانیه طول بکشه تو عمل هم ئقیقا همینطوری هست....ولی توی خانواده xilinx همچین چیزی نیست.
هر کسی خواست fpga altra مدل های جدیدش رو بخره از امجد ...فروشگاه آرمان خرید خارجی کنه بهتره....ما خودمون تو بازار ایران 30000 توامن خریدیم..این بنده خدا خرید خارجی شو برای ما 19000 اورد و خیلی خوب هم جواب میده....
اطلاعات بیشتری ندارم ...این اطلاعات هم از تجربیات اساتیدم فهمیدم.
تا اخر اسفند کمتر میام.

Pioneer
28-02-2011, 22:02
چه شماره ای؟

t.o.e
08-03-2011, 22:39
Cyclone II FPGA (You can see links before reply es%2Fcyclone2%2Foverview%2Fcy2-overview.html&ei=7212TZesO4nKswaauJD9BA&usg=AFQjCNFAjpYjG3q-k5N3HfuDgcEkS9X1yA&sig2=UguXC75ehad9kwvZRGulPg)

HamidTaheri
23-05-2011, 02:14
سلام، کسی میدونه دقیقا چجوری باید یه قسمت رو به یه پین خاص نسبت داد؟
مثلا میخوام پین p0-1رو به عنوان خروجی تعریف کنم که مقدار نهایی روی این پایه قرار بگیره.
کلا چجوری باید ورودی خروجی یه پین رو مشخص کنیم؟
تشکر

ali_invention
16-06-2011, 13:00
با سلام خدمت 1nafar عزيز
1nafar من از شما خيلي چيزا در مورد PIC و AVR ياد گرفتم و حالا كه ديدم در زمينه‌ي FPGA هم فعاليت ميكنيد خيلي خوشحال شدم. خدا خيرتون بده.
من يه سوال دارم
من الان آشنايي اوليه‌اي با ميكرو هاي PIC و AVR پيدا كردم. و خودم PIC رو پسنديدم. اما الان بين انتخاب PIC يا DSPIC يا FPGA مردد شدم و نميدونم كدو رو به صورت حرفه‌اي دنبال كنم.
ممنون ميشم كه من رو راهنمايي بفرماييد و يك مقايسه‌ي مختصر بفرماييد و بگيد كه توي ايران از كدو بيشتر ميشه پول در آورد!!:0013:.
شاد باشيد:wink:

shayanmelody
16-06-2011, 14:05
سلام، کسی میدونه دقیقا چجوری باید یه قسمت رو به یه پین خاص نسبت داد؟
مثلا میخوام پین p0-1رو به عنوان خروجی تعریف کنم که مقدار نهایی روی این پایه قرار بگیره.
کلا چجوری باید ورودی خروجی یه پین رو مشخص کنیم؟
تشکر
سلام.
این تاپیک رو نگاه کنید،با عکس توضیح دادم.اگر سوال بود در خدمتم.
You can see links before reply

vahidasm
11-10-2011, 10:51
سلام
آقا شایان شما از کجا fpga رو شروع کردید؟؟
منظورم منبع هست.
من دوس دارم یاد بگیرم ولی نمیدونم باید از کجا شروع کنم

esisafa
11-10-2011, 11:15
دوستان سلام
اول از همه که arm و avr هیچ ربطی به fpga نداره و حتی نمیشه مقایسه کردشون
پردازنده ها دونه به دونه یک دستور رو انجام میدن مثلا با یک پالس یک بایت رو شیفت میدن و این دستورات به ترتیب انجام میشن تا مثلا بعد از 10 یا 70 یا 200 یا 1000پالس یا مثلا 2 ثانیه عمل مورد نظر انجام بشه. به این روش میگن پردازش ترتیبی چی ترتیبی
ولی fpga و cpld و ... مدار های منطقی رو پیاده سازی میکنن و با یک پالس میتونن داده ی ورودی رو پردازش کنن و در خروجی قرار بدن(یک پالس = ورودی---> خروجی)چقدر خفن. به این روش میگن پردازش بلادرنگ چی بلادرنگ.

ولی خوب این دلیل نمیشه که هیچ کدوم برتری نسبت به اون یکی داشته باشه و هر کدوم در جای خودش

esisafa
11-10-2011, 11:30
در مورد زبانشم بگم که باید یک زبان جدید رو یاد بگیرید بلاخره.
آسون ترین زبان به نظر من ahdl شرکت altera هست. ولی فراگیر نیست و تو نرم افزار quartus دیدمش.
اگه زبان فراگیر میخواین باید vhdl یاد بگیرین که تو هر جا ازش استفاده میشه و واقعا یک استاندارد بین المللی هست
از بقیه ی زبونا هم هیچ خبری ندارم
در زبان ahdl اول هدر ها رو معرفی میکنی. بعد ورودی خروجی ها و بعد بدنه ی اصلی

توجه: برای کار با HDL حتما باید یکم مدار منطقی بلد باشین. حداقل بدونین جدول حالت چیه

vahidasm
11-10-2011, 17:04
برای یادگیریش منبعی(کتاب یا pdf , ...) هست که مستقیما fpga آموزش داده باشه؟؟

shayanmelody
11-10-2011, 17:18
برای یادگیریش منبعی(کتاب یا pdf , ...) هست که مستقیما fpga آموزش داده باشه؟؟

سلام.
شما برای کار کردن با این تراشه ها کلا دو روش دارید.یکی برنامه نویسی و دیگری طراحی شماتیک.
تو طراحی شماتیک شما میاید گیت های منطقی رو کنار هم میزارید و مدارتون رو درست میکنید(البته بعضی از قطعات پر کاربرد از پیش طراحی شده هست).

در کل شما باید ابتدا مدارهای منطقی رو بلد باشید.
یکم رو این قسمت وقت بزارید بعدش برید سراغ این تراشه ها.

vahidasm
11-10-2011, 17:26
مدار منطقی مشکلی ندارم.شما فقط بگین چیکار کنم؟

esisafa
11-10-2011, 18:10
کتابهای آموزش VHDL و Verilog و به زبون فارسی هست. با کتاب بهترین روشه.
کتاب VHDL برنامه نویسی با مثال- داگلاس پری-فرزاد شکاری زاده - انتشارات نص - یک نمونه کتابه
اینی که من دارم ویراست چهارمه که خیلی جدید نیست و از جدیدش خبر ندارم

یکم کتابم بگیریم بد نیست. من که همه کارامو با کامپیوتر میکنم از فیلم گرفته و نرم افزار و آموزش زبان و همه با کامپیوتره. باید تغییر مسیر بدم

vahidasm
11-10-2011, 18:22
با تشکر
یه سوال دیگه هم دارم
این کتابی که شما میگین دقیقا fpga آموزش داده یا فقط مباحث برنامه نویسیه؟؟

esisafa
11-10-2011, 19:42
تو این کتاب برنامه نویسی آموزش داده میشه سنتز کردن اون بررسی میشه و در مورد آی سی های قابل برنامه نویسی مطلب قابل توجهی نگفته

یک کتاب قدیمی تر دارم سال 2003 به اسم "طراحی مدارهای دیجیتال با fpga و fipsoc " محمد صالح نام بخش - انتشارات ناقوس که دیگه با پیشرفت تکنولوژی از رده خارج شده.

ولی هم در مورد تراشه های اون زمان توضیح داده و هم زبان AHDL که سطحش متوسطه

vahidasm
11-10-2011, 20:35
با تشکر از آقای صفامنش
آقا شایان من منتظر جواب شما هم هستم

shayanmelody
11-10-2011, 22:24
با تشکر از آقای صفامنش
آقا شایان من منتظر جواب شما هم هستم

سلام.

خوب ، اگر با مدار منطقی هم مشکل ندارید پس بسم الله . اگر میخواهی با سری xilinx کار کنید نرم افزار ISE رو دانلود کنید و اگرم میخواهید با سری ALTERA کار کنید نرم افزار Quartus رو دانلود کنید ( اگر اشتباه تایپ نکرده باشم.)
شرکت نوآوران یه برد آموزشی برای CPLD داره که قیمتش مناسبه و برای شروع خوبه.(تازه ، هزینه ارسالش هم رایگانه) من خودم هم همین برد رو دارم.
و این میشه شروع کار......

esisafa
11-10-2011, 23:24
اینم ورژن جدید کتاب دومی که گفتم یعنی هم fpga گفته چیه هم vhdl یاد داده
سال 1390 چاپ شده
اینم لینکش:
You can see links before reply

vahidasm
12-10-2011, 01:49
سلام
خیلی خیلی ممنون از شما دوستان عزیز
فقط یه خواهش از آقا شایان دارم
اینکه کد اون محصول رو که گفتین باید روی جعبه اش نوشته شده باشه اون کد رو بهم میدین؟؟
کدوم یکی از این خانواده ها بهتره؟؟xilinx یا ALTERA
یه سوال دیگه اینکه شرکت کویر همچین بردی نداره؟؟

shayanmelody
12-10-2011, 11:35
سلام.
NSK105 ، کلا از CPLD های xilinx یه برد بیشتر نداره و قیمتش هم 40 تومن هست.
من اطلاعات آنچنانی ندارم که بخوام مقایسه کنم ، اما دو نکته رو میتونم بگم :
محصولات xilinx بیشتر تو ایرن وجود دارند و در نتیجه تنوعشون بیشتره (توایران).
یه نفر تو یکی از سایت های میگفت که نرم افزار Quartus که مخصوص Altera هست تو عمل عین شبیه ساز نرم افزارش کار میکنه.

متاسفانه شرکت کویر این برد رو نداره.

kavir
12-10-2011, 20:27
سلام.
Nsk105 ، کلا از cpld های xilinx یه برد بیشتر نداره و قیمتش هم 40 تومن هست.
من اطلاعات آنچنانی ندارم که بخوام مقایسه کنم ، اما دو نکته رو میتونم بگم :
محصولات xilinx بیشتر تو ایرن وجود دارند و در نتیجه تنوعشون بیشتره (توایران).
یه نفر تو یکی از سایت های میگفت که نرم افزار quartus که مخصوص altera هست تو عمل عین شبیه ساز نرم افزارش کار میکنه.

متاسفانه شرکت کویر این برد رو نداره.
سلام فعلا تا عید در دستور کارمون نیست .اگر بعد عید فرصت بشه مرحله بعدی روی این هم کار میکینم:0013:

vahidasm
12-10-2011, 23:36
سلام
با تشکر از آقا شایان و آقای زارعی و آقای صفامنش که خیلی منو راهنمایی کردید

mzarkoob
28-11-2011, 16:26
با سلام
تموم چیزهای مفیدی که در مورد fpga هست و در اینترنت جمع آوری کردم، را سعی میکنم در اینجا (You can see links before reply) آپلود کنم. امیدوارم بشه دانلود کرد.

mzarkoob
04-12-2011, 00:03
یه سایت خوبی باهاش آشنا شدم که فیلم های آموزشی fpga و ... را در آن قرار داده.آقای دکتر صدری دانشگاه صنعتی اصفهان. زبان verilog را توضیح دادند.
لینک (You can see links before reply) :0013:

sadmonew
04-12-2011, 11:37
یه سایت خوبی باهاش آشنا شدم که فیلم های آموزشی fpga و ... را در آن قرار داده.آقای دکتر صدری دانشگاه صنعتی اصفهان. زبان verilog را توضیح دادند.
لینک (You can see links before reply) :0013:
با سلام
دوست عزیز دستت درد نکنه عجب سایتیه. فیلماشم که لینک مستقیم داره.:018::o

mzarkoob
08-12-2011, 17:27
اگر میخواهی با سری xilinx کار کنید نرم افزار ISE رو دانلود کنید
میگم این نرم افزارو باید از کجا دانلود کنیم؟ از اینجا (You can see links before reply) ؟ حجمش که زیاده. چهار گیگه!! نسخه 13.3 Full Installer for Windows (You can see links before reply). حالا به فرض دانلود کردیم ،این کرک نمی خواد؟ دانلود نکنیم ببینیم کار نمی دنه باید فعال بشه ضایع بشیم؟

msmut7020
08-12-2011, 20:07
میگم این نرم افزارو باید از کجا دانلود کنیم؟ از اینجا (You can see links before reply) ؟ حجمش که زیاده. چهار گیگه!! نسخه 13.3 Full Installer for Windows (You can see links before reply). حالا به فرض دانلود کردیم ،این کرک نمی خواد؟ دانلود نکنیم ببینیم کار نمی دنه باید فعال بشه ضایع بشیم؟
سلام.من نسخه 13.1 را دانلود کردم و بدون هیچ مشکلی کار داد.از سایت -_You can see links before reply دانلود کردم.یه سرچ کنی پیدا میشه.

mzarkoob
08-12-2011, 22:09
سلام.من نسخه 13.1 را دانلود کردم و بدون هیچ مشکلی کار داد.از سایت -_You can see links before reply دانلود کردم.یه سرچ کنی پیدا میشه.
خیلی ممنون. در سرچی که قبلا داشتم این سایت را دیدم. در این لینک (You can see links before reply) . ولی لینک مستقیمش دیگه کار نمی کنه. راپیدشیر هم not available می باشد. لینک Fileserve هم برای قسمت اول غیر فعاله. خلاصه که غیر قابل استفاده است. برای همین گفتم برم از خود سایت اصلی دانلود کنم. لینک دیگه ای وجود نداره؟

shayanmelody
08-12-2011, 22:21
سلام.
تو تکنو-الکترو هم یه سرچی بزنی بد نیست !

mzarkoob
09-12-2011, 00:27
سلام.
تو تکنو-الکترو هم یه سرچی بزنی بد نیست !
نبودcry::. در این سایت نرم افزار
Aldec Active-HDL 8.3 SP1 2011 (You can see links before reply)


هست.

mzarkoob
09-03-2012, 23:19
سلام فعلا تا عید در دستور کارمون نیست .اگر بعد عید فرصت بشه مرحله بعدی روی این هم کار میکینم:0013:
سلام
دیگه داره عید میشه ها!:018:فکر می کنید کی برید سراغ بردهای fpga و cpld تا جای خالی اون پر بشه؟
این تایپیک PLD , SPLD , GAL , CPLD , FPGA (You can see links before reply) اصلا رونق نداره :sa:

armstk
10-03-2012, 02:27
سلام
دقیقا جایه fpga خالیه

وقتی که دوتا ابر قدر fpga و arm بصورت مکمل یکدیگر کار کنن این میشه قدرت نهایی , که هر کاری میشه باهاش کرد

کافیه سری های جدید altera رو ببینین که بصورت ترکیبی با cortex-a9 طراحی شده و واقعا وحشتناک هستش ...

خودم هم خیلی علاقه به fpga دارم و یک fpga کله گنده سری cyclon ii از altera جور شده که میخام راش بندازم و وقت نشده ...
این قضیه altera و xixlinx هم شده دقیقا قضیه stm32 و lpc !!! همیشه بینشون دعواست
من که فعلا آلترا رو انتخاب کردم , با این که تویه ایران غریب تر هستن و کمتر مورد توجه قرار میگیرن و هنوز منبع فارسی خوبی براش موجود نیست

sigma-mx
10-03-2012, 13:30
سلام به دوستان . من خیلی وقت پیش خیلی دلم میخواست fpga رو شروع کنم و البته شروع کردم ولی متاسفانه هیچ وقت استفاده ای برام نداشت که بگم تو یه پروژه احتیاج بهش پیدا کنم ! بخاطر هم همین جوری ولش کردم .من زبان Verilog رو البته کار می کردم . پیشنهاد می کنم شما هم تستش کنید خیلی حرفه ای و عالی هست . همچنان دنبال یه پروژه خوب هستم :0013:

mzarkoob
10-03-2012, 22:24
این قضیه altera و xixlinx هم شده دقیقا قضیه stm32 و lpc !!! همیشه بینشون دعواست

البته فکر نکنم این دعوا خیلی هم جدی باشه! چون تو ایران xilinx دم دست هست و شایدم ارزون، خوب همه سراغ چیزی میرند که موجود باشه .


با این که تویه ایران غریب تر هستن و کمتر مورد توجه قرار میگیرن

altera هم اگه دوست داره فراوانیش را بیشتر کنه تا این گرد غربت برطرف بشه. :mrgreen:

sigma-mx
11-03-2012, 01:40
البته فکر نکنم این دعوا خیلی هم جدی باشه! چون تو ایران xilinx دم دست هست و شایدم ارزون، خوب همه سراغ چیزی میرند که موجود باشه .
البته این دعوا بیشتر مثل PIC و AVR هست :0013: avr هم که ارزون تر بود همه رفتن سراغش !!!

altera هم اگه دوست داره فراوانیش را بیشتر کنه تا این گرد غربت برطرف بشه
دوست عزیز altera ی بیچاره همینم از زیر دستش در رفته که همین چند تا محصول وارد کشور شده !!! :(

alimohammad_s
20-03-2012, 13:01
سلام اقا به جای این حرفا لطف کنین آموزشو شروع کنین ........:018:

مرسسسسسسسسسسسسسسی

mzarkoob
08-04-2012, 12:08
سلام اقا به جای این حرفا لطف کنین آموزشو شروع کنین ........:018:

مرسسسسسسسسسسسسسسی

سلام
در اینترنت یه فایل آموزش نرم افزار ISE بود pdf مناسبیه. و یک فیلم آموزشی. ولی این فیلم جالب نبود و اصلا معلوم نیست موس کجا را داره کلیک میکنه. برای همین یه فیلم آموزشی درست کردم از نحوه کار با این نرم افزار. البته قسمت test bench waveform را واردش نشدم. امیدوارم مفید باشه :wink:
دانلود (You can see links before reply) با حجم 16 مگ
البته اگه مدیرت خواستند زحمت بکشند اینجا آپلودش کنند.

البته اون pdf هم اینجاست (You can see links before reply)


داداش mzarkoob (You can see links before reply) شما بچه ها را بیدار کن من خودم پشتتم :mrgreen:
شما با دای agape (You can see links before reply) :wink: استارت بزنین بقیه دوستان حتما استقبال میکنن
موفق باشید و سربلند

ببینیم و تعریف کنیم:0013:

mzarkoob
09-04-2012, 19:28
به این استقبال :018: !!!
کی بود می گفت ما پشتتیم !!؟؟ :x

msmut7020
09-04-2012, 19:39
سلام
در اینترنت یه فایل آموزش نرم افزار ise بود pdf مناسبیه. و یک فیلم آموزشی. ولی این فیلم جالب نبود و اصلا معلوم نیست موس کجا را داره کلیک میکنه. برای همین یه فیلم آموزشی درست کردم از نحوه کار با این نرم افزار. البته قسمت test bench waveform را واردش نشدم. امیدوارم مفید باشه :wink:
دانلود (You can see links before reply) با حجم 16 مگ
البته اگه مدیرت خواستند زحمت بکشند اینجا آپلودش کنند.

البته اون pdf هم اینجاست (You can see links before reply)


ببینیم و تعریف کنیم:0013:

استاد اجازه!ما نتونستیم دانلودش کنیم.فکر کنم لینک دانلود مشکل داره

Doloop
09-04-2012, 21:22
استاد اجازه!ما نتونستیم دانلودش کنیم.فکر کنم لینک دانلود مشکل داره

من دوتاشم دان کردم درسته.:o
لینک رو کپی کن و با IDM دانلود کن.:0013:
خیلی ممنون:0013:

alimohammad_s
10-04-2012, 11:59
با سلام تشکر بابت فیلم و زحمتی که کشیدید


من نرم افزارو از کجا میتونم دانلود کنم

مرسسسسسسسسسسسسی

mzarkoob
10-04-2012, 12:23
خوب انگار یه کم استقبال شد !
من نرم افزار را از کسی گرفتم. ولی فکر کنم از خود سایت xilinx اگه عضو بشی میتونید دانلود کرد.
از دوستان نرم افزاری سراغ داره که بشه خوب باهاش از صفحه دسکتاب فیلم گرفت؟ snogat که موس را نشون نمیده ! این یکی هم که دارم(Power Screen Capture) حجم فیلم تولیدیش زیاد میشه

sadmonew
10-04-2012, 19:55
از دوستان نرم افزاری سراغ داره که بشه خوب باهاش از صفحه دسکتاب فیلم گرفت؟ snogat که موس را نشون نمیده ! این یکی هم که دارم حجم فیلم تولیدیش زیاد میشه
سلام مهندس :hi:
اول از همه بابت فيلمي كه تهيه كردين ازتون تشكر ميكنم.:wink:
در مورد نرم افزار نميدونم از نرم افزارهاي HyperCam يا Camtasia Studio استفاده كردين يا نه؟
در كل هم كپچر ميكنه هم اديت و هم توليد نهايي فيلم با هر فرمتي كه بخواين.
موفق باشيد.

digitalsnake
17-04-2012, 04:27
لطفا کمی در مورد actel توضیح بدید.

mzarkoob
18-04-2012, 21:29
لطفا کمی در مورد actel توضیح بدید.
من با نرم افزار Actel Libero IDE اصلا آشنا نیستم. اصلا نمی دونم از کجا میشه دانلود کرد! چه کارایی داره؟

mzarkoob
27-04-2012, 11:49
سلام
دیدم در این تایپیک سایتی برای آشنایی با زبان برنامه نویسی verilog و vhdl نیست، گفتم لینکش را گذاشته باشم.
اول این (You can see links before reply) سایت که با وریلاگ مثالهای خوبی زده
دوم اینجا (You can see links before reply)که هر دو زبان را آموزش مثال داره.

haji110
19-05-2012, 10:59
سلام و خسته نباشید.
من از صفحه اول تا اینجا را خوندم.
حالا 2 سوال برام پیش اومد
1- فرق FPGA وcpld چیست؟ آیا 2 پروسسور جدا هستند یا نه؟
2- نظرتون در مورد نرم افزار Aldec Active-HDL چیه ؟ می خوام با این کار کنم.
خوبه یا نه مثل فرق بسکام و کدویژن هست که باعث محدود شدن کارایی fpga میشه.
--------------------------------------------

mzarkoob
20-05-2012, 09:58
سلام و خسته نباشید.
من از صفحه اول تا اینجا را خوندم.
حالا 2 سوال برام پیش اومد
1- فرق FPGA وcpld چیست؟ آیا 2 پروسسور جدا هستند یا نه؟
2- نظرتون در مورد نرم افزار Aldec Active-HDL چیه ؟ می خوام با این کار کنم.
خوبه یا نه مثل فرق بسکام و کدویژن هست که باعث محدود شدن کارایی fpga میشه.
--------------------------------------------
سلام
cpld برای پیاده سازی برنامه های کوچک می باشد. در صورتی که حجم برنامه زیاد باشد نمی توان آن را پیاده سازی کند برای همین فقط برای برنامه های ساده استفاده می شود. همین طور دیگر نیازی به استفاده از حافظه فلاش ندارند و برنامه داخل خود تراشه پروگرام می شود. به این دو مورد انتخاب مناسبی برای افراد مبتدی می باشد. ولی وقتی یه کم باهاش کار کردی و دستتون تو برنامه نویسی راه افتاد و خواستید غیر از چشمک زن برنامه های خفن تر ! بنویسید میبینید که جواب نمیده و باید برید سراغ FPGA
به لحاظ سخت افزاری FPGA باید یه فلاش رم داشته باشه که برنامه را داخل اون پروگرام کنیم و گر نه تا برق قطع میشه برنامه هم میپره. همین طور چند تا ولتاژ DC برای تغذیه لازم داره و ..
در این زمینه ها اگه سرچ کنید کلی مطلب پیدا می کنید

at91sam7x256
09-06-2012, 01:39
سلام
موضوع رو خوب ادامه دادین و عالی. اگر امکان داره چند مثال عملی با زبان vhdl برای شروع بگین . و اگه انشالله بچه ها یاری کنن یه مدار کاربردی خوب رو پیادهسازی کنیم . من برد اموزشی xillinx xc9572-pc84 نووران نسخه جدید رو دارم یکی از امکانات جدیددش lcd , vga هست که خیلی بدرد میخوره نسخه قبلیش فقط سگمنت و led , این چیزا داشت . البته متاسفانه با parallel پورت پروگرم میشه . و 80 قیمت. 2 برابر قبلی.

at91sam7x256
09-06-2012, 01:46
راستی جدید ترین کتاب کار با fpga بصورت عملی ترجمه دکتر قدرت سپید نام . هست (نمونه سازی fpga با مثالهایی از vhdl ).. انتشارات علوم رایانه چاپ اول تابستان 90 توش از ps2 mouse keyboard vga usart sram و در نهایت میکروکنترلر شرکت xillinx با نام microblaze رو گفته . و دقیقا برد اموزشی که یکی از دوستان در صفحات اول بعنوان اشنایی با یک برد گذاشته بودن رو توضیح میده یعنی spartan 3

mzarkoob
09-06-2012, 23:17
سلام
موضوع رو خوب ادامه دادین و عالی. اگر امکان داره چند مثال عملی با زبان vhdl برای شروع بگین . و اگه انشالله بچه ها یاری کنن یه مدار کاربردی خوب رو پیادهسازی کنیم . من برد اموزشی xillinx xc9572-pc84 نووران نسخه جدید رو دارم یکی از امکانات جدیددش lcd , vga هست که خیلی بدرد میخوره نسخه قبلیش فقط سگمنت و led , این چیزا داشت . البته متاسفانه با parallel پورت پروگرم میشه . و 80 قیمت. 2 برابر قبلی.
سلام
من یه کم verilog کار کردم و فرصت نشده vhdl کار کنمcry::
بله این نمونه برد را یکی دو تا چیز بهش اضافه کرده قیمتشا کرده دو برابر!! چه خبره مگه :sa: :baad:نمی ارزه اصلا

maedekaviani
10-06-2012, 12:53
من هم از ادامه این بحث استقبال می کنم
با VHDL و Verilog هم کار کردم
حتی چند فایل آموزشی هم دارم
اما یکی به من برنامه Active hdl
ورژن جدید را بده
من ورژن 6.1 دارم اما جدیدش را می خوام

sigma-mx
10-06-2012, 13:09
سلام کسی تا بحال با Fpga advantage کار کرده ؟ فکر کنم این و active HDL هیچ کدوم کد تولید نمیکنند فقط سنتز کننده هستند درسته ؟

maedekaviani
10-06-2012, 13:11
در مورد برنامه هایی که میشه با اونها کد نوشت
Active HDL یا به اختصار Aldec یکی از برنامه های خیلی خوب تو این زمینه است
برنامه های دیگه هم هستند ولی یه عیب های بیخودی دارد
به نظر من بهترین برنامه Aldec است .اما ورژن جدید آن 8.3 است که تا الان من کرک خوبش را پیدا نکردم .

sigma-mx
10-06-2012, 13:16
یعنی این نرم افزار میتونه کامپایل کنه ؟ و کد آماده پروگرام تولید کنه ؟

maedekaviani
10-06-2012, 13:18
من با نرم افزار Actel Libero IDE اصلا آشنا نیستم. اصلا نمی دونم از کجا میشه دانلود کرد! چه کارایی داره؟
Actel کمپانی ارائه کننده برنامه microsim است . اون هم برنامه خوبیه
تعریفش را شنیدم
و حقیقتأ نشنیدم که ایرادات خاصی داشته باشه
اما چیزی که فهمیدم و البته کمی هم شک دارم اینه که ظاهرأ توی پیکر بندی این برنامه ها با هم تفاوت دارند
یعنی بلوک هایی که برای اجرای نهایی برنامه میگذارند متفاوت است
اگه شما سطح گیت برنامه بنویسید که هیچ
اما اگه از دیکدر و قطعات از پیش تعریف شده به صورت generate استفاده کنید این تفاوت در زمان سنتز اعمال میشه
من باز هم میگم شاید من اشتباه فهمیده باشم
اگه دوستان اطلاعات دارند راهنمایی کنند

maedekaviani
10-06-2012, 13:27
یعنی این نرم افزار میتونه کامپایل کنه ؟ و کد آماده پروگرام تولید کنه ؟

کامپایل و سنتز را انجام میده

اما سوال شما را دقیق نفهمیدم
شاید دلیلش این باشه
برای نوشتن برنامه برای FPGA سه مرحله داریم :

1)کد اولیه که می توانه به زبان VHDL و Veriogباشه
2) نوشتن تست بنچ که به مراتب مشکل تر از مرحله اول است
یعنی مشخص کنید چه ورودی هایی اعمال بشوند که اگر دید بالاتر باشه ورودی هایی را انتخاب می کنید که بتوانید قابلیت اطمینان سیستم (reliability) را هم آزمایش کنید که این خودش یه بحثی دارد که عمومأ جزو دروس ارشد الکترونیک و کامپیوتر است . اگر دوست داشتید می توانم در موردش توضیح بدم اما بعد امتحانات !(بعد از 8 تیر)
3) پروگرام آی سی و تست روی آی سی که من تا به حال این کار را با Active HDL انجام ندادم. عمومأ دوستان در این زمینه با ISE کار می کردند که البته می توانید اگر هدف نهایی تون پیاده سازی روس آی سی است از اول توی ISE برنامه را بنویسید.

حالا سوال شما اینه که کد را آماده کنه برای پروگرام آی سی ؟ ؟؟؟

sigma-mx
10-06-2012, 13:37
منظورم همین بود. مثل اینکه کد کامپایل شده آماده پروگرام رو باید یه نرم افزار دیگه مثل ISE یا Maxplus و .. براش تولید کنه ..! یعنی تو خود این نرم افزار ها بخشی برای پروگرامر وجود نداره . ولی مثلا من با MaxplusII خودم برنامه رو میتوشتم - تست بنچ میکردم - پین ها رو مشخص میکردم و بعد مستقیما پروگرام میکردم رو آیسی ...

at91sam7x256
10-06-2012, 14:22
بله . درست میگین . معمولا نرم افزار های اصلی کمپانی سازه چیپ تمامی قابلیت کل پروژه رو داره. منم با fpga advantage کمی کار کردم بنظرم خروجی فایل برنامه ریزی نداره.

esisafa
10-06-2012, 15:31
ایجاد فایل قابل برنامه ریزی روی آیسی به کلی وابسته به نوع و ساختار آیسی هست.
در برنامه ریزی ترتیبی مثل سی، همه چیز به ساختار پردازشی x86 ختم میشه و خوب همه ی برنامه ها میتونن برای این استاندارد فایل خروجی رو تولید کنن.
ولی در بحث fpga هر آیسی ساختار خودش رو داره و فقط خود تولید کننده ی آیسی میدونه. پس بهترین کار اینه که برای هر آیسی از نرم افزار شرکت خود آیسی استفاده بشه. حتی بهینه سازی هم به بهترین نحو انجام میشه.

همین قدر که تونستن یک زبان جامعی مثل vhdl رو برای برنامه نویسی یکسان طراحی کنن کلی زحمت روش کشیده شده
فکر کنید چند تا خانواده داره cpld , vcpld , fpga , gal , ... . حتی مثلا خود fpga هم ساختار متفاوتی داره. نوع سیم بندی و بلاک منطقی مختلف دارن. باز خود بلاک منطقی از روشهای مختلفی که در مدار منطقی خوندین استفاده میکنن. باز همین نوع بلاک منطقی میتونه 4 بیتی یا هر چند بیتی باشه. به هیچ وحه نمیشه به یک ساختار واحد رسید

sigma-mx
10-06-2012, 15:39
پس fpga advantage و active hdl برای پروگرام و تولید کد خروجی وابسته به کامپایلر یه کمپانی مثل XILINX یا ALTERA هست . حالا اگه این نرم افزارها رو به این سنتز کننده ها لینک بدیم میتونن از کامپایلر خط فرمان استفاده کنن و تو محیط خودشون تولید کد کنند ؟ یا باید کلا رفت مثلا تو ISE و همه کار رو اونجا کرد ؟

maedekaviani
10-06-2012, 15:50
امکان انجام لینک هست
چون شما کتابخانه های مختلف Altera و Xillinix را بهش اضافه می کنید
اما کاری که بهتره به نظر من اینه اگر هدف شبیه سازی تا سطح تست بنچ است[ Active HDL [ALDECخوب است
اما اگه هدف پیاده سازی است حرف اون دوستمون که گفته از برنامه خود شرکت استفاده کنید کارتون را راحت تر می کنه
من توی یه نمونه که خیلی وقت پیش روی CPLD پروگرام کردم و برنامه اولیه توی ALDEC بود ، دست آخر رفتم از اول توی ISE از اول شروع کنم چون توی تبدیل یه مشکلاتی به وجود اومد که البته شاید یک دلیل بروز مشکل آماتور بودن من هم بود ، شاید یه کد حرفه ای تر چنین مشکلی پیدا نکند .

esisafa
10-06-2012, 16:05
فکر میکنم آیسی های یک شرکت رو که در ایران راحت پیدا میشه در نظر بگیرید و روی برنامه ی همون شرکت کار کنید. زبان برنامه نویسی رو که قبلا یاد گرفتین. فقط میمونه کار کردن با نرم افزارش که نمیشه کاریش کرد.
معمولا هر شرکتی که نرم افزار میده از همه ی زبانهای معروف پشتیبانی میکنه. quartus که نرم افزار شرکت altra هست خیلی کامله. شما میتونید با هر زبانی یک قطعه از برنامه رو پیاده کنید و به صورت بلاک در بیارین بعد دوباره به صورت کاملا گرافیکی این بلاکها رو کنار هم قرار بدین و پایه هاشون رو به هم وصل کنید و آسی نهایی رو طراحی کنید

البته با شرکت دیگه ای کار نکردم که بتونم برای اونا هم نظر بدم و مقایسه کنم

at91sam7x256
21-06-2012, 00:58
برد اموزشی xc9572 با امکانات پورت VGA PS2 و LCD 7SEG KEY LED دستم رسید . تمام مثالهاشو بهمراه جزوه جزئیات برد اموزشی رو فشرده کردم شد 20مگ اگه کسی میخواد پیغام بده واسش میل کنم البته در اولین فرصت یجا UPLOAD میکنم. یا علی

nimaltd
21-06-2012, 01:06
nimaltd@yahoo.com یه ای میل میکنی . مرسی

at91sam7x256
21-06-2012, 01:28
اقا اینم لینک دانلود :
VHDL.rar (You can see links before reply)

mzarkoob
21-06-2012, 17:10
تمام مثالهاشو بهمراه جزوه جزئیات برد اموزشی رو فشرده کردم شد
با سلام . تشکر
می خواستم بدونم با verilog مثال نذاشته؟

at91sam7x256
22-06-2012, 00:12
سلام . بله داره . اولین فرصت میزارم .

at91sam7x256
22-06-2012, 00:22
اینم لینک مثالهای CPLD با زبان VERILOG :

Verilog.rar (You can see links before reply)

mzarkoob
22-06-2012, 19:14
سلام
خیلی ممنون
من که قبلا تماس گرفتم گفتم مثالهای این برد را بدید ، من برد ورژن قبلی را دارم فقط چند مثال ساده را دادند که خودمم بلد بودم!

zahraem
08-07-2012, 09:45
سلام
میشه لطفا یک برد با قیمت مناسب و کاربرد خوب برای یادگیری ابتدایی و بعد متوسط معرفی کنید؟
برای یادگیری کار با نرم افزار ise و بعد پیاده سازی بر روی برد.
ممنون

at91sam7x256
08-07-2012, 10:08
سلام . ساده ترین و مناسب ترین برد اموزشی cpld از شرکت نواوران هست که 79 قیمتش هست و همه چی داره . مثل 7seg lcd رله و ... تمام مثالهاشو تو پستهای بالا ارائه دادم . موفق باشی .

mrooz
28-10-2012, 21:42
سلام
وقت همگی بخیر من تمام مطالب رو مطالعه کردم ولی چیزی آموزشی دسگیرم نشد البته من هم هیچ اطلاعاتی در این زمینه ندارم
اگر میشه لطف کنید روشن خاموش کردن led را با زبان vhdl با نرم افزار ise برام توضیح بدید...
میدونم خیلی ساده هست ولی من هیچ اطلاعاتی ندارم تازه استارت کارو میخوام بزنم
با تشکر

Black-Black
28-10-2012, 23:09
اقا با اف پی جی ای مدارات مخابراتی مثل فرستنده هم میشه ساخت؟

mrooz
10-11-2012, 20:54
اگر میشه لطف کنید روشن خاموش کردن led با کلید را با زبان vhdl با نرم افزار ise برام توضیح بدید...
:(

mzarkoob
10-11-2012, 23:25
اگر میشه لطف کنید روشن خاموش کردن led با کلید را با زبان vhdl با نرم افزار ise برام توضیح بدید...
:(
سلام
دوستمون اینجا قرار دادند یه سری سمپل . دیدید؟
ایـــــــــران میـــــــکرو ™ - مشاهده یک موضوع - اموزش برنامه نویسی تراشه های fpga (You can see links before reply)

SRT
06-05-2016, 10:12
واقعا عجیبه!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!

NavardiReza
13-08-2016, 01:23
پروژه کسر از خدمت سربازی
اگر کسی از دوستان که دانشجو یا فارغ‌التحصیل ارشد الکترونیک و مسلط به پیاده سازی fpga باشد. اگر علاقه مند به انجام پروژه کسر از خدمت سربازی باشد. رزومه خود را به آدرس Navardireza@gmail.com ارسال کند.

JALAL.SH
13-07-2017, 12:40
کسی که برنامه نویسی vhdl رو بلد باشه کدوم شرکت ها پروژه های مرتبط باهاشو انجام میدن و کدوم شرکت ها استخدامشون میکنند؟