PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : شمارش تعداد بیت های یک در vhdl



fmohsen
04-01-2016, 14:09
سلام خدمت دوستان عزیز و خداقوت



بنده یه پروژه دارم که عملکرد آن به این شکله

در صورت یک بودن بیت start،ورودی را گرفته و تعداد بیت های یک آن را بشمارد. سپس در صورت زوج بودن نتیجه، عدد یک و در غیر اینصورت، عدد صفر را به خروجی انتساب دهد. و همین روند تکرار شود.

میخوام با برنامه modelsim پروژه رو بنویسم

اگر دوستان لطف کنند به بنده کمک کنند ممنون میشم