PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : خطا در فایل ff.h



behnam6500
21-01-2014, 11:31
سلام دوستان

من با مموری micro sd تونستم فایل باز کنم و محتویاتش رو بخونم...

وقتی با دستوری مثل زیر میخوام یه فایل ایجاد کنم:

res = f_open(&ff1, "0:/bb.txt", FA_CREATE_ALWAYS | FA_WRITE);

مقدار صفر رو بر میگردونه که یعنی فایل ایجاد شده...
با دستور f_close(&ff1); هم فایل رو میبندم... منتها توی مموری فایلی ایجاد نشده....


بعد از دستور ایجاد فایل بالا وقتی با دستور زیر
res = f_write(&ff1, buffer,16, &bw);

میخوام مقدار بافر رو بنویستم خطای 1 رو میده که به دیسک گیر میده!!!

هر راهی به ذهنم رسید انجام دادم...

ایراد از چی میتونه باشه... ممنونم

behnam6500
21-01-2014, 11:55
مشکل حل شد... ایراد از گندی بوده که توی کتابخونه به توابعش زده بودن!!!