PDA

توجه ! این یک نسخه آرشیو شده میباشد و در این حالت شما عکسی را مشاهده نمیکنید برای مشاهده کامل متن و عکسها بر روی لینک مقابل کلیک کنید : FPGA



  1. ***** کتابهای الکترونیکی FPGA ها و زب
  2. پروگرمر JTAG برای قطعات شرکت Altera
  3. *** كتابهاي الكترونيكي براي PCB ***
  4. يادگيري FPGA ها قدم به قدم
  5. FPGA
  6. کتاب اموزش fpga به زبان c
  7. دانلود Quartus II V9.0 + Crack By RiFT
  8. اموزش برنامه نویسی تراشه های fpga
  9. كمك...
  10. پروگرامر زايلينكس
  11. PLD , SPLD , GAL , CPLD , FPGA چی هست ؟
  12. آشنایی با چند اصطلاح
  13. پروسه طراحی سيستمهای ديجيتال
  14. Fpga
  15. آموزش fpga و vhdl
  16. راهنمايي
  17. چرا بعضی از دستورات تو vhdl و verilog جواب نمیده
  18. پروگرام fpga به جز نرم افزار impact ise
  19. آموزش کار با نرم افزار CoDeveloper
  20. زايلينكس
  21. پروگرامر xilinx همراه با pcb
  22. پردازش تصویر با fpga
  23. کمک درباره برنامه vhdl
  24. کمک درباره برنامه ahpl
  25. مشخص کردن یک پین خاص به عنوان ورودی یا خروجی
  26. graphic lcd-FPGA
  27. آرایه ماتریسی vhdl
  28. برای شروع
  29. مشکل با epm7032
  30. شبیه سازی fpga
  31. بررسی یک ایده در مورد پروگرام کردن cpldوfpga
  32. 20nm 40gbps fpga
  33. نیاز به کمک برای خوندن محتوای این آی سی
  34. میخوام cpld یاد بگیرم لطفا راهنمایی کنید
  35. اجرای کد متلب بر روی fpga
  36. کامپايلر fpaa
  37. راهنمایی برای نصب Xilinx
  38. شناسایی impact
  39. منبع فارسی برا fpga (راهنمایی کنید)
  40. fpaa
  41. پردازش سیگنال فرکانس بالا با fpga
  42. در انتخاب fpga باید به چه نکاتی توجه کنیم؟
  43. راهنما اصول طراحی با پردازنده های ارایه ای altera and xlinx
  44. آموزش شبیه سازی vhdl در نرم افزار tina
  45. چراغ راهنما با verilog
  46. ازکجا بخریم؟؟؟-- معرفی منابع خرید fpga
  47. ساخت پروگرامر fpga با usb
  48. فرق این دو fpga
  49. [سوال] مشکل ارتباط 3.3v-2.5v در Virtex6
  50. شما هم کارت گرافیک بسازید
  51. کمک:مقاله برای ترجمه
  52. مشکل با ای سی ft245bl در usbblaster
  53. [سوال] یک سوال تکراری ولی بنیادی!
  54. مشکل برنامه ise با کتابخانه textio
  55. سوال در مورد gal و lca
  56. [سوال] fpga برد
  57. شبیه سازی alu توسط vhdl
  58. شبیه سازی با کوارتوس
  59. شبیه سازی با زالینیکس
  60. سوال در مورد پیاده سازی fpga
  61. عدم سنتز کد vhdl زیر
  62. پروتکل jtag
  63. ساخت پروگرامر fpga با usb و avr
  64. [سوال] کنترل تطبیقی با fpga
  65. سیستم وریلوگ
  66. مشکل با نرم افزار ise
  67. راهنمایی درباب خرید و شروع و انجام پروژه
  68. سوال در مورد پیاده سازی سینوس و کسینوس با QuichePhaze
  69. برد آموزشی اسپارتان 6 - برد پازج
  70. درخواست راهنمای برد
  71. نصب فقط impact
  72. شمارش تعداد بیت های یک در vhdl
  73. معرفی برد اموزشی altera با cycloneiii ep3c25q240c8n ساخت و طراحی خودم
  74. gsm module
  75. خرید برد fpga
  76. آموزش ویدئویی fpga (رایگان)
  77. تبدیل کد c به HDL
  78. مقایسه سرعت عمل ضرب ماتریس ها در FPGA و miniPC
  79. کدام برد fpga رو بخرم؟
  80. [سوال] سوال درباره نحوه کار با Spartan-6 Multibooting Spi Flash
  81. ساخت برد fpga
  82. [آموزش] FPGA in Altium designer
  83. مقدمات شروع طراحی با fpga
  84. [سوال] برد آموزشی altera fpga EP1K30QC208-3
  85. [سوال] ابهام در مورد HDLParsers:800
  86. آموزش floorplannong
  87. ساخت حافظه با lut