NOTICE توجه: این یک موضوع قدیمی است که آخرین پست ارسالی آن مربوط به 4157 روز قبل است . لطفا فقط پاسخ ها ، سوالات و درخواست های 100 درصد مرتبط را به آن ارسال کنید و برای درخواست ها و سوالات جدید موضوع جدیدی را ایجاد کنید
نمایش نتایج: از 1 به 9 از 9

موضوع: آموزش برنامه ریزی تراشه FPGA توسط نرم افزار quartus

  1. #1
    مدیر انجمن Aghaeifar آواتار ها
    تاریخ عضویت
    Feb 2010
    نام
    علی آقائی فر
    نوشته ها
    751
    تشکر
    552
    تشکر شده 975 بار در 440 پست

    Thumbs up آموزش برنامه ریزی تراشه FPGA توسط نرم افزار quartus

    سلام

    در فایل آموزشی که تمام با عکس مراحل مختلف رو نشون می ده نحوه کار با quartus 2 ، را از ایجاد پروژه تا پروگرام کردن یک برنامه نشان می دهد . این نرم افزار توسط شرکت altera ساخته شده است . در اینجا از آخرین ورژن این برنامه که در حال حاضر 9 است استفاده شده است .

    البته برنامه نویسی verilog و زبان های مرتبط شامل این اموزشی نمی باشد .

    مولف : علی آقایی فر

    از لینک زیر دریافت کنید :

    http://www.4shared.com/file/OWcv72lR/Learn_quartus.html
    ما در انتظار رویت خورشیدیم ...

    اللهم عجل لولیک الفرج

  2. کاربران : 10 تشکر کرده اند از شما Aghaeifar برای ارسال این پست سودمند:


  3. # ADS
    Circuit advertisement
    تاریخ عضویت
    Always
    نام
    Advertising world
    نوشته ها
    Many
     

  4. #2
    کاربر علاقه مند
    تاریخ عضویت
    Sep 2009
    نام
    مشهد
    نوشته ها
    35
    تشکر
    12
    تشکر شده 11 بار در 10 پست

    پیش فرض لینک خرابه

    سلام دوست عزیز
    لینک خرابه لطفا اینچنین آموزشهایی که استفاده عمومی داره و مفیده رو در یه سرور مناسب تر قرار بدین

    ممنون

  5. #3
    مدیر انجمن Aghaeifar آواتار ها
    تاریخ عضویت
    Feb 2010
    نام
    علی آقائی فر
    نوشته ها
    751
    تشکر
    552
    تشکر شده 975 بار در 440 پست

    پیش فرض

    علیک سلام دوست گرامی
    لینک که سالمه !!! تست کردم .
    بهترین سرور رایگان فعلا 4shared هست - (می خوام از تعداد دفعات دانلود فایل ها آمار داشته باشم که در 4shared هم نشون می ده)
    ما در انتظار رویت خورشیدیم ...

    اللهم عجل لولیک الفرج

  6. تشکرها از این نوشته :


  7. #4
    عضو جدید
    تاریخ عضویت
    Oct 2012
    نوشته ها
    6
    تشکر
    1
    تشکر شده 0 بار در 0 پست

    پیش فرض

    سلام لطفا در مورد روشن و خاموش شدن ال ای دی با کلید در fpga با زبان vhdl توضیح بدید ....

  8. #5
    کاربر علاقه مند filip31 آواتار ها
    تاریخ عضویت
    Jan 2012
    نام
    میلاد
    نوشته ها
    29
    تشکر
    43
    تشکر شده 23 بار در 10 پست

    پیش فرض

    سلام
    اگه میشه رو پیکوفایل آپ کنید اونم آمار گیر داره ممنون.

  9. #6
    کاربر فعال
    تاریخ عضویت
    Jul 2011
    نوشته ها
    130
    تشکر
    65
    تشکر شده 204 بار در 77 پست

    پیش فرض

    نقل قول نوشته اصلی توسط mrooz نمایش پست ها
    سلام لطفا در مورد روشن و خاموش شدن ال ای دی با کلید در fpga با زبان vhdl توضیح بدید ....
    سلام:

    روش های مختلفی وجود داره. من یکی رو اینجا مینویسم:

    process
    begin
    led<=1;
    call your delay function
    led<=0;
    end process;

    توضیحات:

    من قسمت entity , architecture رو ننوشتم چون ساده هست.
    اونجا که گفتم call your delay function ، بسگتی به کلا fpga ات داره. مثلا میخوای 500ms روشن باشه و کلاک میکروت 20مگ هست. یک تابع مینویسی که در اصل یک کانتر هست. هر کلاک حدود 1/20مگ طول میکشه. بنابراین برای تاخیر 500 میلی ثانیه باید کانترت تا 10 میلیون بشمره!
    بقیه کد هم ساده هست. اگر سوالی بود در خدمتم.

  10. کاربران : 2 تشکر کرده اند از شما hossein1387 برای ارسال این پست سودمند:


  11. #7
    عضو جدید
    تاریخ عضویت
    Oct 2012
    نوشته ها
    6
    تشکر
    1
    تشکر شده 0 بار در 0 پست

    پیش فرض

    سلام ممنون از لطف شما که راهنمایی کردید
    سوالی که داشتم اینه که توی این تکه کد کلید را چه جوری باید معرفی کرد ؟ و چه دستوری باید بهش داد؟

  12. #8
    عضو جدید
    تاریخ عضویت
    Oct 2012
    نوشته ها
    6
    تشکر
    1
    تشکر شده 0 بار در 0 پست

    پیش فرض

    سلام...
    لطفا جواب سوال منو بدید...

  13. #9
    عضو جدید
    تاریخ عضویت
    Oct 2012
    نوشته ها
    6
    تشکر
    1
    تشکر شده 0 بار در 0 پست

    پیش فرض

    خواستم بدونم وقتی برای باز کردن یه پروژه جدید در ise باید ورودی خروجی انتخاب کنیم کلید و ال ای دی را چجوری ورودی یا خروجی قرار بدیم؟
    و اینکه وقتی قسمت کد نویسی را باز کردیم تکه کد را کجا باید وارد کنم؟
    بین begin , end آخری که چیزی بینش نیست؟

موضوعات مشابه

  1. اموزش برنامه نویسی تراشه های fpga
    توسط 1nafar در انجمن FPGA
    پاسخ: 85
    آخرين نوشته: 13-07-2017, 12:40
  2. سوال در مورد fpga
    توسط engineer1 در انجمن پردازنده هاي ارایه ای
    پاسخ: 360
    آخرين نوشته: 17-01-2015, 15:16
  3. آموزش fpga و vhdl
    توسط arsalan681 در انجمن FPGA
    پاسخ: 13
    آخرين نوشته: 22-05-2012, 12:42
  4. کتاب اموزش fpga به زبان c
    توسط 1nafar در انجمن FPGA
    پاسخ: 26
    آخرين نوشته: 22-11-2011, 16:51

مجوز های ارسال و ویرایش

  • شما نمیتوانید موضوع جدیدی ارسال کنید
  • شما امکان ارسال پاسخ را ندارید
  • شما نمیتوانید فایل پیوست کنید.
  • شما نمیتوانید پست های خود را ویرایش کنید
  •